Skip Nav Destination
Issues
November 2015
ISSN 2166-2746
EISSN 2166-2754
In this Issue
Letters
Physical and electrical characteristics of hydrothermally synthesized nanocrystalline TiO2 thin films
J. Vac. Sci. Technol. B 33, 060601 (2015)
https://doi.org/10.1116/1.4931792
Dependence of reverse bias leakage on depletion width and V-pit size in InGaN/GaN light-emitting diodes grown on silicon
Hyun Kum; Mihyun Kim; Dong-gun Lee; Youngjo Tak; Jongsun Maeng; Joosung Kim; Gilho Gu; Joong Jung Kim; Yongil Kim; Jun-Youn Kim; Youngsoo Park
J. Vac. Sci. Technol. B 33, 060602 (2015)
https://doi.org/10.1116/1.4933039
Ultrahigh vacuum deposition of higher manganese silicide Mn4Si7 thin films
J. Vac. Sci. Technol. B 33, 060603 (2015)
https://doi.org/10.1116/1.4933083
Flux dependent Sb-incorporation during molecular beam epitaxy of InAsSb
J. Vac. Sci. Technol. B 33, 060604 (2015)
https://doi.org/10.1116/1.4935892
Deep germanium etching using time multiplexed plasma etching
Maxime Darnon; Mathieu de Lafontaine; Maïté Volatier; Simon Fafard; Richard Arès; Abdelatif Jaouad; Vincent Aimez
J. Vac. Sci. Technol. B 33, 060605 (2015)
https://doi.org/10.1116/1.4936112
Review Articles
Roll-to-roll UV imprinting lithography for micro/nanostructures
J. Vac. Sci. Technol. B 33, 060801 (2015)
https://doi.org/10.1116/1.4933347
Electronic & Optoelectronic Materials, Devices & Processing
Effect of GaN surface treatment on Al2O3/n-GaN MOS capacitors
Tashfin Hossain; Daming Wei; James H. Edgar; Nelson Y. Garces; Neeraj Nepal; Jennifer K. Hite; Michael A. Mastro; Charles R. Eddy, Jr.; Harry M. Meyer, III
J. Vac. Sci. Technol. B 33, 061201 (2015)
https://doi.org/10.1116/1.4931793
Deep level transient spectroscopy in III-Nitrides: Decreasing the effects of series resistance
J. Vac. Sci. Technol. B 33, 061203 (2015)
https://doi.org/10.1116/1.4932013
Comparison of acid- and non-acid-based surface preparations of Nb-doped SrTiO3 (001)
J. Vac. Sci. Technol. B 33, 061204 (2015)
https://doi.org/10.1116/1.4931616
Modification of porous SiOCH by first contact with water vapor after plasma process
J. Vac. Sci. Technol. B 33, 061205 (2015)
https://doi.org/10.1116/1.4932533
Effect of excess Bi2O3 on structure and performance of ZnO-based thin film transistors
J. Vac. Sci. Technol. B 33, 061206 (2015)
https://doi.org/10.1116/1.4935105
Charge control in N-polar InAlN high-electron-mobility transistors grown by plasma-assisted molecular beam epitaxy
Matthew T. Hardy; David F. Storm; Brian P. Downey; D. Scott Katzer; David J. Meyer; Thomas O. McConkie; David J. Smith
J. Vac. Sci. Technol. B 33, 061207 (2015)
https://doi.org/10.1116/1.4935130
Fabrication of metal strip waveguides for optical and microwave data transmission
J. Vac. Sci. Technol. B 33, 061208 (2015)
https://doi.org/10.1116/1.4935106
Band offsets in HfSiO4/IGZO heterojunctions
J. Vac. Sci. Technol. B 33, 061209 (2015)
https://doi.org/10.1116/1.4936117
Plasma-assisted oxide removal from p-type GaSb for low resistivity ohmic contacts
J. Vac. Sci. Technol. B 33, 061210 (2015)
https://doi.org/10.1116/1.4935883
Visible-light phototransistors based on InGaZnO and silver nanoparticles
J. Vac. Sci. Technol. B 33, 061211 (2015)
https://doi.org/10.1116/1.4936113
Etch residue removal of CoFeB using CO/NH3 reactive ion beam for spin transfer torque-magnetic random access memory device
J. Vac. Sci. Technol. B 33, 061212 (2015)
https://doi.org/10.1116/1.4936114
Lithography
Highly selective removal of poly(methyl methacrylate) from polystyrene-block-poly(methyl methacrylate) by CO/H2 plasma etching
J. Vac. Sci. Technol. B 33, 061601 (2015)
https://doi.org/10.1116/1.4932541
Electron beam lithography using a PMMA/P(MMA 8.5 MAA) bilayer for negative tone lift-off process
J. Vac. Sci. Technol. B 33, 061602 (2015)
https://doi.org/10.1116/1.4935129
Effect of extreme ultraviolet photoresist underlayer optical properties on imaging performance
J. Vac. Sci. Technol. B 33, 061603 (2015)
https://doi.org/10.1116/1.4936121
MEMS & NEMS
Sacrificial structures for deep reactive ion etching of high-aspect ratio kinoform silicon x-ray lenses
Frederik Stöhr; Jonas Michael-Lindhard; Jörg Hübner; Flemming Jensen; Hugh Simons; Anders Clemen Jakobsen; Henning Friis Poulsen; Ole Hansen
J. Vac. Sci. Technol. B 33, 062001 (2015)
https://doi.org/10.1116/1.4931622
Highly efficient initiation carrier injection for nonthermal atmospheric plasma generation
J. Vac. Sci. Technol. B 33, 062002 (2015)
https://doi.org/10.1116/1.4933043
Microelectronic & Nanoelectronic Devices
Effects of conducting defects on resistive switching characteristics of SiNx-based resistive random-access memory with MIS structure
J. Vac. Sci. Technol. B 33, 062201 (2015)
https://doi.org/10.1116/1.4931946
Concept for assembling individual nanostructure-based components into complex devices
J. Vac. Sci. Technol. B 33, 062202 (2015)
https://doi.org/10.1116/1.4931952
Organic Electronics and Optoelectronics
Quantum-dot light-emitting diodes with a double-layer structured hole injection layer
J. Vac. Sci. Technol. B 33, 062401 (2015)
https://doi.org/10.1116/1.4932542
59th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication
EIPBN Invited Articles
Characterization of small microfluidic valves for studies of mechanical properties of bacteria
J. Vac. Sci. Technol. B 33, 06F202 (2015)
https://doi.org/10.1116/1.4929883
Fabrication of pyramidal probes with various periodic patterns and a single nanopore
Seong Soo Choi; Myoung Jin Park; Chul Hee Han; Sae Joong Oh; Sang Hun Han; Nam Kyou Park; Yong-Sang Kim; Hyuck Choo
J. Vac. Sci. Technol. B 33, 06F203 (2015)
https://doi.org/10.1116/1.4935560
Directed Self Assembly
Implementation of surface energy modification in graphoepitaxy directed self-assembly for hole multiplication
Jan Doise; Joost Bekaert; Boon Teik Chan; Roel Gronheid; Yi Cao; SungEun Hong; Guanyang Lin; Daniel Fishman; Yuli Chakk; Taisir Marzook
J. Vac. Sci. Technol. B 33, 06F301 (2015)
https://doi.org/10.1116/1.4929884
Electrical yield verification of half-pitch 15 nm patterns using directed self-assembly of polystyrene-block-poly(methyl methacrylate)
Tsukasa Azuma; Yuriko Seino; Hironobu Sato; Yusuke Kasahara; Katsutoshi Kobayashi; Hitoshi Kubota; Hideki Kanai; Katsuyoshi Kodera; Naoko Kihara; Yoshiaki Kawamonzen; Satoshi Nomura; Ken Miyagi; Shinya Minegishi; Toshikatsu Tobana; Masayuki Shiraishi
J. Vac. Sci. Technol. B 33, 06F302 (2015)
https://doi.org/10.1116/1.4931635
Simulation study on defect annihilation dynamics in directed self-assembly lithography
Katsuyoshi Kodera; Hideki Kanai; Hironobu Sato; Yuriko Seino; Katsutoshi Kobayashi; Yusuke Kasahara; Hitoshi Kubota; Naoko Kihara; Yoshiaki Kawamonzen; Shinya Minegishi; Ken Miyagi; Masayuki Shiraishi; Toshikatsu Tobana; Satoshi Nomura; Tsukasa Azuma
J. Vac. Sci. Technol. B 33, 06F303 (2015)
https://doi.org/10.1116/1.4935254
High resolution nanofabrication using self-assembly of metal salt-polymer nanocomposite film
J. Vac. Sci. Technol. B 33, 06F304 (2015)
https://doi.org/10.1116/1.4935654
Nano- and Micro- Electromechanical systems
High performance lithium niobate surface acoustic wave transducers in the 4–12 GHz super high frequency range
J. Vac. Sci. Technol. B 33, 06F401 (2015)
https://doi.org/10.1116/1.4935561
Beam Induced Deposition and Etching
Enhancement of XeF2-assisted gallium ion beam etching of silicon layer and endpoint detection from backside in circuit editing
J. Vac. Sci. Technol. B 33, 06F501 (2015)
https://doi.org/10.1116/1.4928744
Nanopore formation in TiN membranes by the focused electron beam of a transmission electron microscope
J. Vac. Sci. Technol. B 33, 06F502 (2015)
https://doi.org/10.1116/1.4935504
Helium ion beam induced growth of hammerhead AFM probes
J. Vac. Sci. Technol. B 33, 06F503 (2015)
https://doi.org/10.1116/1.4936068
Nanoimprint Lithography
Flexible composite stamp for thermal nanoimprint lithography based on OrmoStamp
J. Vac. Sci. Technol. B 33, 06F601 (2015)
https://doi.org/10.1116/1.4929885
Method for high temperature nanoimprint of an organic semicrystalline polymer
Si Wang; Johannes Rond; Khalid Dhima; Christian Steinberg; Marc Papenheim; Hella-Christin Scheer; Jan-Christoph Gasse
J. Vac. Sci. Technol. B 33, 06F602 (2015)
https://doi.org/10.1116/1.4929882
Thermal wrinkling of nanoimprinted SU-8 with masked UV-exposure
J. Vac. Sci. Technol. B 33, 06F603 (2015)
https://doi.org/10.1116/1.4931688
Stereolithography with variable resolutions using optical filter with high-contrast gratings
J. Vac. Sci. Technol. B 33, 06F604 (2015)
https://doi.org/10.1116/1.4935336
Nanophotonics
Nanobiotechnology
Fabrication of free-standing casein devices with micro- and nanostructured regular and bioimprinted surface features
J. Vac. Sci. Technol. B 33, 06F901 (2015)
https://doi.org/10.1116/1.4931591
Advances in 3D neuronal cell culture
Jean-Philippe Frimat; Sijia Xie; Alex Bastiaens; Bart Schurink; Floor Wolbers; Jaap den Toonder; Regina Luttge
J. Vac. Sci. Technol. B 33, 06F902 (2015)
https://doi.org/10.1116/1.4931636
Carbon nanotube field effect transistor aptasensors for estrogen detection in liquids
J. Vac. Sci. Technol. B 33, 06F904 (2015)
https://doi.org/10.1116/1.4935246
Advanced Pattern Transfer
Topography-free sample for thermal spatial response measurement of scanning thermal microscopy
J. Vac. Sci. Technol. B 33, 06FA03 (2015)
https://doi.org/10.1116/1.4933172
Electron Beam Lithography
Lossless layout image compression algorithms for electron-beam direct-write lithography
J. Vac. Sci. Technol. B 33, 06FD01 (2015)
https://doi.org/10.1116/1.4927639
Automated geometry assisted proximity effect correction for electron beam direct write nanolithography
J. Vac. Sci. Technol. B 33, 06FD02 (2015)
https://doi.org/10.1116/1.4931691
Patterning of defect arrays with e-beam lithography used to develop a high throughput e-beam defect inspection tool
Kevin D. Cummings; Ben Bunday; Matt Malloy; John Hartley; Laila Banu; M. Mellish; Weilun Chao; A. R. Bleier; A. Banerjee
J. Vac. Sci. Technol. B 33, 06FD03 (2015)
https://doi.org/10.1116/1.4934052
Multilayer on-chip stacked Fresnel zone plates: Hard x-ray fabrication and soft x-ray simulations
J. Vac. Sci. Technol. B 33, 06FD04 (2015)
https://doi.org/10.1116/1.4935252
Fabricating a high-resolution mask with improved line-edge roughness by using a nonchemically amplified resist and a postexposure bake
J. Vac. Sci. Technol. B 33, 06FD05 (2015)
https://doi.org/10.1116/1.4935558
Enhanced adhesion of electron beam resist by grafted monolayer poly(methylmethacrylate-co-methacrylic acid) brush
J. Vac. Sci. Technol. B 33, 06FD06 (2015)
https://doi.org/10.1116/1.4935506
Analytic estimation and minimization of line edge roughness in electron-beam lithography
J. Vac. Sci. Technol. B 33, 06FD07 (2015)
https://doi.org/10.1116/1.4936070
Optical and Extreme UV (EUV) Lithography
Demonstration of 22-nm half pitch resolution on the SHARP EUV microscope
Markus P. Benk; Kenneth A. Goldberg; Antoine Wojdyla; Christopher N. Anderson; Farhad Salmassi; Patrick P. Naulleau; Michael Kocsis
J. Vac. Sci. Technol. B 33, 06FE01 (2015)
https://doi.org/10.1116/1.4929509
Variation in phase defect size on extreme ultraviolet mask before and after reflective multilayer coating
J. Vac. Sci. Technol. B 33, 06FE02 (2015)
https://doi.org/10.1116/1.4931934
Atomic Layer Deposition
ZnO functionalization of multiwalled carbon nanotubes for methane sensing at single parts per million concentration levels
Md Tanim Humayun; Ralu Divan; Liliana Stan; Ashu Gupta; Daniel Rosenmann; Lara Gundel; Paul A. Solomon; Igor Paprotny
J. Vac. Sci. Technol. B 33, 06FF01 (2015)
https://doi.org/10.1116/1.4931694
Nanoelectronics
Fabrication and comparison of MoS2 and WSe2 field-effect transistor biosensors
J. Vac. Sci. Technol. B 33, 06FG01 (2015)
https://doi.org/10.1116/1.4930040
Fabrication of nanodamascene metallic single electron transistors with atomic layer deposition of tunnel barrier
J. Vac. Sci. Technol. B 33, 06FG02 (2015)
https://doi.org/10.1116/1.4932156
Fabrication of artificial graphene in a GaAs quantum heterostructure
Diego Scarabelli; Sheng Wang; Aron Pinczuk; Shalom J. Wind; Yuliya Y. Kuznetsova; Loren N. Pfeiffer; Ken West; Geoff C. Gardner; Michael J. Manfra; Vittorio Pellegrini
J. Vac. Sci. Technol. B 33, 06FG03 (2015)
https://doi.org/10.1116/1.4932672
Resists and Lithography Materials
Cross sections of photoacid generators at low electron energies
Steven Grzeskowiak; Amrit Narasimhan; Jonathan Ostrander; Jonathon Schad; William Earley; Robert L. Brainard; Greg Denbeaux; Leonidas E. Ocola; Mark Neisser
J. Vac. Sci. Technol. B 33, 06FH01 (2015)
https://doi.org/10.1116/1.4935954
Multiscale simulation of resist pattern shrinkage during scanning electron microscope observations
J. Vac. Sci. Technol. B 33, 06FH02 (2015)
https://doi.org/10.1116/1.4935956
Charged Particle Optics and Sources
Low-energy scanning electron microscope using a monochromator with double-offset cylindrical lenses
J. Vac. Sci. Technol. B 33, 06FJ01 (2015)
https://doi.org/10.1116/1.4931933
Novel Imaging and Characterization Techniques
1.5 nm fabrication of test patterns for characterization of metrological systems
Sergey Babin; Giuseppe Calafiore; Christophe Peroz; Raymond Conley; Nathalie Bouet; Stefano Cabrini; Elaine Chan; Ian Lacey; Wayne R. McKinney; Valeriy V. Yashchuk; Andras E. Vladar
J. Vac. Sci. Technol. B 33, 06FL01 (2015)
https://doi.org/10.1116/1.4935253
Modeling of local dielectric charging induced by line scan during SEM observation
J. Vac. Sci. Technol. B 33, 06FL02 (2015)
https://doi.org/10.1116/1.4936069
Micro- and Nanofluidics
Nanofluidic interfaces in microfluidic networks
J. Vac. Sci. Technol. B 33, 06FM01 (2015)
https://doi.org/10.1116/1.4931590
Characterization of extended channel bioreactors for continuous-flow protein production
J. Vac. Sci. Technol. B 33, 06FM02 (2015)
https://doi.org/10.1116/1.4932155
Fabrication of nanoporous membranes for tuning microbial interactions and biochemical reactions
J. Vac. Sci. Technol. B 33, 06FM03 (2015)
https://doi.org/10.1116/1.4932671
High Throughput Electron Microscopy
Proposed architecture of a multicolumn electron-beam wafer inspection system for high-volume manufacturing
J. Vac. Sci. Technol. B 33, 06FN01 (2015)
https://doi.org/10.1116/1.4931589
Simulation technique for pattern inspection using a projection electron microscope
J. Vac. Sci. Technol. B 33, 06FN02 (2015)
https://doi.org/10.1116/1.4931932
High-brightness miniature column for high-speed multicolumn wafer inspection
J. Vac. Sci. Technol. B 33, 06FN03 (2015)
https://doi.org/10.1116/1.4935505
Future of plasma etching for microelectronics: Challenges and opportunities
Gottlieb S. Oehrlein, Stephan M. Brandstadter, et al.
Science challenges and research opportunities for plasma applications in microelectronics
David B. Graves, Catherine B. Labelle, et al.
Novel low-temperature and high-flux hydrogen plasma source for extreme-ultraviolet lithography applications
A. S. Stodolna, T. W. Mechielsen, et al.