Skip Nav Destination
Issues
January 2008
This content was originally published in
Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena
ISSN 1071-1023
EISSN 1520-8567
In this Issue
Regular Articles
Fabrication and performance of nanoscale ultrasmooth programed defects for extreme ultraviolet lithography
J. Vac. Sci. Technol. B 26, 6–10 (2008)
https://doi.org/10.1116/1.2817625
Turn-on field distribution of field-emitting sites in carbon nanotube film: Study with luminescent image
J. Vac. Sci. Technol. B 26, 32–35 (2008)
https://doi.org/10.1116/1.2817633
Exposure latitude of deep-ultraviolet conformable contact photolithography
J. Vac. Sci. Technol. B 26, 36–40 (2008)
https://doi.org/10.1116/1.2817634
Structural and electrical investigation of laser annealed thin films
J. Vac. Sci. Technol. B 26, 41–46 (2008)
https://doi.org/10.1116/1.2819255
Enhanced local oxidation of silicon using a conducting atomic force microscope in water
J. Vac. Sci. Technol. B 26, 47–51 (2008)
https://doi.org/10.1116/1.2819256
Optical properties of -doped epitaxial layers grown by metal-organic chemical-vapor deposition in mid and far IR range
A. B. Weerasekara; Z. G. Hu; N. Dietz; A. G. U. Perera; A. Asghar; M. H. Kane; M. Strassburg; I. T. Ferguson
J. Vac. Sci. Technol. B 26, 52–55 (2008)
https://doi.org/10.1116/1.2819259
GaSb based midinfrared equilateral-triangle-resonator semiconductor lasers
J. Vac. Sci. Technol. B 26, 56–61 (2008)
https://doi.org/10.1116/1.2819260
Improvement of the wiggling profile of spin-on carbon hard mask by plasma treatment
M. Tadokoro; K. Yonekura; K. Yoshikawa; Y. Ono; T. Ishibashi; T. Hanawa; N. Fujiwara; T. Matsunobe; K. Matsuda
J. Vac. Sci. Technol. B 26, 67–71 (2008)
https://doi.org/10.1116/1.2819262
Nonlocal reduced boron diffusivity in silicon below strained surfaces
J. Vac. Sci. Technol. B 26, 72–75 (2008)
https://doi.org/10.1116/1.2817631
Flare-variation compensation for line and space pattern for device manufacturing on extreme-ultraviolet lithography
J. Vac. Sci. Technol. B 26, 80–83 (2008)
https://doi.org/10.1116/1.2821953
Potential of phase-shifted optical proximity correction for T-shaped pattern in high numerical aperture lithography
J. Vac. Sci. Technol. B 26, 84–88 (2008)
https://doi.org/10.1116/1.2823020
Atomic diffusion and interface electronic structure at heterojunctions
J. Vac. Sci. Technol. B 26, 89–95 (2008)
https://doi.org/10.1116/1.2823031
Study of pretreatment prior to silicon-oxycarbide deposition on Cu interconnect
J. Vac. Sci. Technol. B 26, 96–101 (2008)
https://doi.org/10.1116/1.2823054
Surface structure characterization of nanodiamond thin film for electronic field emission applications
J. Vac. Sci. Technol. B 26, 102–105 (2008)
https://doi.org/10.1116/1.2825144
Study of high-brightness flat-panel lighting source using carbon-nanotube cathode
J. Vac. Sci. Technol. B 26, 106–109 (2008)
https://doi.org/10.1116/1.2825145
Effective energy densities in KrF excimer laser reformation as a sidewall smoothing technique
J. Vac. Sci. Technol. B 26, 110–116 (2008)
https://doi.org/10.1116/1.2825163
Facet formation and lateral overgrowth of selective Ge epitaxy on -patterned Si(001) substrates
J. Vac. Sci. Technol. B 26, 117–121 (2008)
https://doi.org/10.1116/1.2825165
Fabrication of silicon kinoform lenses for hard x-ray focusing by electron beam lithography and deep reactive ion etching
J. Vac. Sci. Technol. B 26, 122–127 (2008)
https://doi.org/10.1116/1.2825167
Photoresist characterization using double exposures with interference lithography
J. Vac. Sci. Technol. B 26, 128–131 (2008)
https://doi.org/10.1116/1.2825169
Novel hydrostatic pressuring mechanism for soft UV-imprinting processes
J. Vac. Sci. Technol. B 26, 132–136 (2008)
https://doi.org/10.1116/1.2825170
Analysis of temperature-dependent barrier heights in erbium-silicided Schottky diodes
J. Vac. Sci. Technol. B 26, 137–140 (2008)
https://doi.org/10.1116/1.2825172
Effect of temperature on copper damascene chemical mechanical polishing process
J. Vac. Sci. Technol. B 26, 141–150 (2008)
https://doi.org/10.1116/1.2825143
Patterned wafer defect density analysis of step and flash imprint lithography
J. Vac. Sci. Technol. B 26, 151–155 (2008)
https://doi.org/10.1116/1.2825164
Soft photocurable nanoimprint lithography for compound semiconductor nanostructures
J. Vac. Sci. Technol. B 26, 156–158 (2008)
https://doi.org/10.1116/1.2823035
Electrical conductivity of ultra-thin silicon nanowires
Nabil Rochdi; Didier Tonneau; Franck Jandard; Hervé Dallaporta; Viatcheslav Safarov; Jacques Gautier
J. Vac. Sci. Technol. B 26, 159–163 (2008)
https://doi.org/10.1116/1.2823056
Erbium silicide formation and its contact properties on Si(100)
J. Vac. Sci. Technol. B 26, 164–170 (2008)
https://doi.org/10.1116/1.2831485
Luminescence uniformity studies on dendrite bamboo carbon submicron-tube field-emitter arrays
J. Vac. Sci. Technol. B 26, 171–174 (2008)
https://doi.org/10.1116/1.2831487
Structural and electrical studies of conductive nanowires prepared by focused ion beam induced deposition
J. Vac. Sci. Technol. B 26, 175–180 (2008)
https://doi.org/10.1116/1.2830630
Plasma reactor dry cleaning strategy after TiN, TaN and etching processes
J. Vac. Sci. Technol. B 26, 181–188 (2008)
https://doi.org/10.1116/1.2830637
Hybrid nanofabrication processes utilizing diblock copolymer nanotemplate prepared by self-assembled monolayer based surface neutralization
J. Vac. Sci. Technol. B 26, 189–194 (2008)
https://doi.org/10.1116/1.2830693
Inelastic deformability of nanopillar by focused-ion-beam chemical vapor deposition
J. Vac. Sci. Technol. B 26, 201–205 (2008)
https://doi.org/10.1116/1.2830683
Fabrication of single-crystalline film on silicon substrate using thin film transfer technology
J. Vac. Sci. Technol. B 26, 206–208 (2008)
https://doi.org/10.1116/1.2830691
Description of field emission current/voltage characteristics in terms of scaled barrier field values (-values)
J. Vac. Sci. Technol. B 26, 209–213 (2008)
https://doi.org/10.1116/1.2834563
Low-temperature -axis oriented growth of nanocrystalline ZnO thin films on Si substrates by plasma assisted pulsed laser deposition
J. Vac. Sci. Technol. B 26, 214–218 (2008)
https://doi.org/10.1116/1.2834567
Mechanistic study of plasma damage of low dielectric surfaces
J. Vac. Sci. Technol. B 26, 219–226 (2008)
https://doi.org/10.1116/1.2834562
Surface plasmon assisted contact scheme nanoscale photolithography using an UV lamp
J. Vac. Sci. Technol. B 26, 227–231 (2008)
https://doi.org/10.1116/1.2834688
Novel photocurable epoxy siloxane polymers for photolithography and imprint lithography applications
J. Vac. Sci. Technol. B 26, 244–248 (2008)
https://doi.org/10.1116/1.2834559
Proximity effects in nanoscale patterning with high resolution electron beam induced deposition
J. Vac. Sci. Technol. B 26, 249–254 (2008)
https://doi.org/10.1116/1.2834560
Effect of bis-(3-sodiumsulfopropyl disulfide) byproducts on copper defects after chemical mechanical polishing
J. Vac. Sci. Technol. B 26, 255–259 (2008)
https://doi.org/10.1116/1.2834679
Brief Reports and Comments
INTERNATIONAL WORKSHOP ON INSIGHT IN SEMICONDUCTOR DEVICE FABRICATION, METROLOGY, AND MODELING (INSIGHT 2007)
Plenary Session
Simulation of doping profile formation: Historical evolution, and present strengths and weaknesses
J. Vac. Sci. Technol. B 26, 273–280 (2008)
https://doi.org/10.1116/1.2778699
Fabrication: Advanced Anneals and Implant
Ultrashallow junctions formed by C coimplantation with spike plus submelt laser annealing
S. B. Felch; E. Collart; V. Parihar; S. Thirupapuliyur; R. Schreutelkamp; B. J. Pawlak; T. Hoffmann; S. Severi; P. Eyben; W. Vandervorst; T. Noda
J. Vac. Sci. Technol. B 26, 281–285 (2008)
https://doi.org/10.1116/1.2831490
Realization of ultrashallow junctions by plasma immersion ion implantation and laser annealing
V. Vervisch; H. Etienne; F. Torregrosa; L. Roux; L. Ottaviani; M. Pasquinelli; T. Sarnet; P. Delaporte
J. Vac. Sci. Technol. B 26, 286–292 (2008)
https://doi.org/10.1116/1.2834555
Optimum activation and diffusion with a combination of spike and flash annealing
J. Vac. Sci. Technol. B 26, 293–297 (2008)
https://doi.org/10.1116/1.2834556
New Approaches to Dopant Profiling
Characterization of an ultrashallow junction structure using angle resolved x-ray photoelectron spectroscopy and medium energy ion scattering
G. Saheli; G. Conti; Y. Uritsky; M. A. Foad; C. R. Brundle; P. Mack; D. Kouzminov; M. Werner; J. A. van den Berg
J. Vac. Sci. Technol. B 26, 298–304 (2008)
https://doi.org/10.1116/1.2834689
Poster Session
Advances in optical carrier profiling through high-frequency modulated optical reflectance
Janusz Bogdanowicz; Fabian Dortu; Trudo Clarysse; Wilfried Vandervorst; Derrick Shaughnessy; Alex Salnik; Lena Nicolaides; Jon Opsal
J. Vac. Sci. Technol. B 26, 310–316 (2008)
https://doi.org/10.1116/1.2819252
Advanced carrier depth profiling on Si and Ge with micro four-point probe
Trudo Clarysse; Pierre Eyben; Brigitte Parmentier; Benny Van Daele; Alessandra Satta; Wilfried Vandervorst; Rong Lin; Dirch Hjorth Petersen; Peter Folmer Nielsen
J. Vac. Sci. Technol. B 26, 317–321 (2008)
https://doi.org/10.1116/1.2802101
Impact of band gap narrowing and surface recombination on photoelectrothermal modulated optical reflectance power curves
J. Vac. Sci. Technol. B 26, 322–332 (2008)
https://doi.org/10.1116/1.2805253
Effect of low Ge content on B diffusion in amorphous SiGe alloys
J. Vac. Sci. Technol. B 26, 333–337 (2008)
https://doi.org/10.1116/1.2781511
Impact of the environmental conditions on the electrical characteristics of scanning spreading resistance microscopy
J. Vac. Sci. Technol. B 26, 338–341 (2008)
https://doi.org/10.1116/1.2805250
Defect evolution after germanium preamorphization in silicon on insulator structures
J. Vac. Sci. Technol. B 26, 342–346 (2008)
https://doi.org/10.1116/1.2802099
Interaction of the end of range defect band with the upper buried oxide interface for B and implants in Si and silicon on insulator with and without preamorphizing implant
M. Kah; A. J. Smith; J. J. Hamilton; J. Sharp; S. H. Yeong; B. Colombeau; R. Gwilliam; R. P. Webb; K. J. Kirkby
J. Vac. Sci. Technol. B 26, 347–350 (2008)
https://doi.org/10.1116/1.2816936
Toward extending the capabilities of scanning spreading resistance microscopy for fin field-effect-transistor-based structures
J. Vac. Sci. Technol. B 26, 351–356 (2008)
https://doi.org/10.1116/1.2819254
Level set modeling of the orientation dependence of solid phase epitaxial regrowth
J. Vac. Sci. Technol. B 26, 357–361 (2008)
https://doi.org/10.1116/1.2823063
Comparative study of size dependent four-point probe sheet resistance measurement on laser annealed ultra-shallow junctions
Dirch Hjorth Petersen; Rong Lin; Torben Mikael Hansen; Erik Rosseel; Wilfried Vandervorst; Christian Markvardsen; Daniel Kjær; Peter Folmer Nielsen
J. Vac. Sci. Technol. B 26, 362–367 (2008)
https://doi.org/10.1116/1.2794743
Boron cathodic arc as an ion source for shallow junction ion implantation of boron
J. Vac. Sci. Technol. B 26, 368–372 (2008)
https://doi.org/10.1116/1.2805255
Defect Formation, Evolution, and Impact
Properties of ultralow energy boron implants using octadecaborane
J. Vac. Sci. Technol. B 26, 373–376 (2008)
https://doi.org/10.1116/1.2790925
Evolution of fluorine and boron profiles during annealing in crystalline Si
Pedro López; Lourdes Pelaz; Ray Duffy; P. Meunier-Beillard; F. Roozeboom; K. van der Tak; P. Breimer; J. G. M. van Berkum; M. A. Verheijen; M. Kaiser
J. Vac. Sci. Technol. B 26, 377–381 (2008)
https://doi.org/10.1116/1.2794738
B clustering in amorphous Si
D. De Salvador; G. Bisognin; M. Di Marino; E. Napolitani; A. Carnera; S. Mirabella; E. Pecora; E. Bruno; F. Priolo; H. Graoui; M. A. Foad; F. Boscherini
J. Vac. Sci. Technol. B 26, 382–385 (2008)
https://doi.org/10.1116/1.2781760
He implantation to control B diffusion in crystalline and preamorphized Si
E. Bruno; S. Mirabella; F. Priolo; K. Kuitunen; F. Tuomisto; J. Slotte; F. Giannazzo; C. Bongiorno; V. Raineri; E. Napolitani
J. Vac. Sci. Technol. B 26, 386–390 (2008)
https://doi.org/10.1116/1.2816927
Antimony for -type metal oxide semiconductor ultrashallow junctions in strained Si: A superior dopant to arsenic?
N. S. Bennett; A. J. Smith; R. M. Gwilliam; R. P. Webb; B. J. Sealy; N. E. B. Cowern; L. O’Reilly; P. J. McNally
J. Vac. Sci. Technol. B 26, 391–395 (2008)
https://doi.org/10.1116/1.2816929
Non-Planar Structures
Probing doping conformality in fin shaped field effect transistor structures using resistors
W. Vandervorst; M. Jurczak; J.-L. Everaert; B. J. Pawlak; R. Duffy; J.-I. Del-Agua-Bomiquel; T. Poon
J. Vac. Sci. Technol. B 26, 396–401 (2008)
https://doi.org/10.1116/1.2789439
Doping fin field-effect transistor sidewalls: Impurity dose retention in silicon due to high angle incident ion implants and the impact on device performance
R. Duffy; G. Curatola; B. J. Pawlak; G. Doornbos; K. van der Tak; P. Breimer; J. G. M. van Berkum; F. Roozeboom
J. Vac. Sci. Technol. B 26, 402–407 (2008)
https://doi.org/10.1116/1.2816925
High Resolution 2-D Metrology with SPM
Application of electron holography to analysis of submicron structures
J. Vac. Sci. Technol. B 26, 408–414 (2008)
https://doi.org/10.1116/1.2834558
Carrier concentration profiling on oxidized surfaces of Si device cross sections by resonant electron tunneling scanning probe spectroscopy
J. Vac. Sci. Technol. B 26, 415–419 (2008)
https://doi.org/10.1116/1.2802103
Enhanced 1D Metrology
Insights in junction photovoltage based sheet resistance measurements for advanced complementary metal-oxide semiconductor
Trudo Clarysse; Alain Moussa; Thomas Zangerle; Frederic Schaus; Wilfried Vandervorst; Vladimir Faifer; Michael Current
J. Vac. Sci. Technol. B 26, 420–424 (2008)
https://doi.org/10.1116/1.2805251
Germanium
Defects in Ge and Si caused by implantation
J. Vac. Sci. Technol. B 26, 425–429 (2008)
https://doi.org/10.1116/1.2834557
P implantation into preamorphized germanium and subsequent annealing: Solid phase epitaxial regrowth, P diffusion, and activation
M. Posselt; B. Schmidt; W. Anwand; R. Grötzschel; V. Heera; A. Mücklich; C. Wündisch; W. Skorupa; H. Hortenbach; S. Gennaro; M. Bersani; D. Giubertoni; A. Möller; H. Bracht
J. Vac. Sci. Technol. B 26, 430–434 (2008)
https://doi.org/10.1116/1.2805249
Stress Effects
Influence of As on the formation of mask-edge defects during stressed solid phase epitaxy in patterned Si wafers
J. Vac. Sci. Technol. B 26, 435–438 (2008)
https://doi.org/10.1116/1.2775459
Dissolution of extended defects in strained silicon
J. Vac. Sci. Technol. B 26, 439–442 (2008)
https://doi.org/10.1116/1.2778698
Letters
Recent advance in protection technology for extreme ultraviolet lithography masks under low-pressure condition
J. Vac. Sci. Technol. B 26, L1–L6 (2008)
https://doi.org/10.1116/1.2821735
Fabrication of ideally ordered anodic porous alumina with large area by vacuum deposition of Al onto mold
J. Vac. Sci. Technol. B 26, L10–L12 (2008)
https://doi.org/10.1116/1.2821734
Future of plasma etching for microelectronics: Challenges and opportunities
Gottlieb S. Oehrlein, Stephan M. Brandstadter, et al.
Transferable GeSn ribbon photodetectors for high-speed short-wave infrared photonic applications
Haochen Zhao, Suho Park, et al.
Exploring SiC CVD growth parameters compatible with remote epitaxy
Daniel J. Pennachio, Jenifer R. Hajzus, et al.