Skip Nav Destination
Issues
November 2007
This content was originally published in
Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena
ISSN 1071-1023
EISSN 1520-8567
In this Issue
Review Article
Extreme ultraviolet lithography: A review
J. Vac. Sci. Technol. B 25, 1743–1761 (2007)
https://doi.org/10.1116/1.2794048
Regular Articles
Effect of process parameters on via formation in Si using deep reactive ion etching
J. Vac. Sci. Technol. B 25, 1762–1770 (2007)
https://doi.org/10.1116/1.2787869
Defects in HgTe grown by molecular beam epitaxy on (211)B-oriented CdZnTe substrates
J. Vac. Sci. Technol. B 25, 1776–1784 (2007)
https://doi.org/10.1116/1.2787876
Enhanced field emission from carbon nanotubes with a thin layer of low work function barium strontium oxide surface coating
J. Vac. Sci. Technol. B 25, 1785–1788 (2007)
https://doi.org/10.1116/1.2790914
Development of chemical-mechanical polished high-resolution zone plates
J. Vac. Sci. Technol. B 25, 1789–1793 (2007)
https://doi.org/10.1116/1.2790917
Electrical properties and deep traps in ZnO films grown by molecular beam epitaxy
A. Y. Polyakov; N. B. Smirnov; A. I. Belogorokhov; A. V. Govorkov; E. A. Kozhukhova; A. V. Osinsky; J. Q. Xie; B. Hertog; S. J. Pearton
J. Vac. Sci. Technol. B 25, 1794–1798 (2007)
https://doi.org/10.1116/1.2790918
Proposed single layer composite film used as high transmission phase shifting masks for the 32, 45, and technology nodes
J. Vac. Sci. Technol. B 25, 1799–1803 (2007)
https://doi.org/10.1116/1.2790920
Critical parameter determination of sonic flow controller diamond microtubes and micronozzles
S. S. Mammana; F. T. Degasperi; M. C. Salvadori; D. C. Sparapani; M. F. Laino; R. C. Rangel; F. S. Teixeira; M. Cattani
J. Vac. Sci. Technol. B 25, 1804–1807 (2007)
https://doi.org/10.1116/1.2790924
On-wafer monitoring of charge accumulation and sidewall conductivity in high-aspect-ratio contact holes during etching process
Butsurin Jinnai; Toshiyuki Orita; Mamoru Konishi; Jun Hashimoto; Yoshinari Ichihashi; Akito Nishitani; Shingo Kadomura; Hiroto Ohtake; Seiji Samukawa
J. Vac. Sci. Technol. B 25, 1808–1813 (2007)
https://doi.org/10.1116/1.2794050
Influence of nanoparticles on electron emission from carbon nanotubes
J. Vac. Sci. Technol. B 25, 1814–1818 (2007)
https://doi.org/10.1116/1.2794053
Yield improvement of Cu/low- dual-damascene interconnection by organic cleaning process
J. Vac. Sci. Technol. B 25, 1819–1822 (2007)
https://doi.org/10.1116/1.2794049
Growth of ZnSe nanowires by pulsed-laser deposition
J. Vac. Sci. Technol. B 25, 1823–1826 (2007)
https://doi.org/10.1116/1.2794052
Fabrication of periodic microstructures on flexible polyimide membranes
J. Vac. Sci. Technol. B 25, 1827–1831 (2007)
https://doi.org/10.1116/1.2794054
Evolution of surface morphology of GaN thin films during photoelectrochemical etching
J. Vac. Sci. Technol. B 25, 1832–1835 (2007)
https://doi.org/10.1116/1.2794055
C-doped semi-insulating GaN HFETs on sapphire substrates with a high breakdown voltage and low specific on-resistance
J. Vac. Sci. Technol. B 25, 1836–1841 (2007)
https://doi.org/10.1116/1.2794058
Large-scale growth of single-walled carbon nanotubes using cold-wall chemical vapor deposition
K. Y. Shin; C. T. Lee; J. S. Kao; C. C. Kei; C. M. Chang; C. N. Hsiao; J. H. Liang; K. C. Leou; C. H. Tsai
J. Vac. Sci. Technol. B 25, 1842–1846 (2007)
https://doi.org/10.1116/1.2796186
Formation of single crystal sulfur supersaturated silicon based junctions by pulsed laser melting
J. Vac. Sci. Technol. B 25, 1847–1852 (2007)
https://doi.org/10.1116/1.2796184
Effects and mechanisms of nitrogen incorporation into hafnium oxide by plasma immersion implantation
J. Vac. Sci. Technol. B 25, 1853–1858 (2007)
https://doi.org/10.1116/1.2799969
Characterization of ruthenium thin films as capping layer for extreme ultraviolet lithography mask blanks
J. Vac. Sci. Technol. B 25, 1859–1866 (2007)
https://doi.org/10.1116/1.2799963
Structural and optical characterization of nanorods/films prepared by oblique angle deposition
J. Vac. Sci. Technol. B 25, 1875–1881 (2007)
https://doi.org/10.1116/1.2799968
Ion energy control at substrates during plasma etching of patterned structures
J. Vac. Sci. Technol. B 25, 1882–1887 (2007)
https://doi.org/10.1116/1.2803723
Thermal model for a superstrate cooling apparatus for an integrated in-line manufacturing process for thin film photovoltaic devices
J. Vac. Sci. Technol. B 25, 1888–1891 (2007)
https://doi.org/10.1116/1.2803724
Structure and magnetic property of -axis oriented nanoparticles on TiN/-Si underlayers
J. Vac. Sci. Technol. B 25, 1892–1895 (2007)
https://doi.org/10.1116/1.2803726
Influence of different surface-passivation dielectrics on high-temperature strain relaxation of AlGaN in heterostructures
J. Vac. Sci. Technol. B 25, 1896–1898 (2007)
https://doi.org/10.1116/1.2803728
Effects of surface plasmon resonant scattering on the power conversion efficiency of organic thin-film solar cells
Y. C. Chang; F. Y. Chou; P. H. Yeh; H. W. Chen; S.-H. Chang; Y. C. Lan; T. F. Guo; T. C. Tsai; C. T. Lee
J. Vac. Sci. Technol. B 25, 1899–1902 (2007)
https://doi.org/10.1116/1.2806959
Optimized plasma-deposited fluorocarbon coating for dry release and passivation of thin SU-8 cantilevers
J. Vac. Sci. Technol. B 25, 1903–1908 (2007)
https://doi.org/10.1116/1.2806960
Structural characteristics of single-crystal nanowires grown by self-catalytic chemical vapor deposition method
J. Vac. Sci. Technol. B 25, 1909–1915 (2007)
https://doi.org/10.1116/1.2804613
Atomic diffusion and electronic structure in heterostructures
J. Vac. Sci. Technol. B 25, 1916–1921 (2007)
https://doi.org/10.1116/1.2811705
Atomic layer deposited stacked gate dielectrics for metal-oxide-semiconductor structures
Seokhoon Kim; Sanghyun Woo; Hyungchul Kim; Wooho Jeong; Taeyong Park; Honggyu Kim; Sung Bae Kim; Hyeongtag Jeon
J. Vac. Sci. Technol. B 25, 1922–1927 (2007)
https://doi.org/10.1116/1.2811707
Mechanisms of porous dielectric film modification induced by reducing and oxidizing ash plasmas
J. Vac. Sci. Technol. B 25, 1928–1940 (2007)
https://doi.org/10.1116/1.2804615
PAPERS FROM THE 51st INTERNATIONAL CONFERENCE ON ELECTRON, ION, AND PHOTON BEAM TECHNOLOGY AND NANOFABRICATION
Directed Self Assembly
Graphoepitaxial cylindrical block copolymer nanodomains evaluated as bit patterned media template
J. Vac. Sci. Technol. B 25, 1953–1957 (2007)
https://doi.org/10.1116/1.2801860
Pattern transfer using poly(styrene-block-methyl methacrylate) copolymer films and reactive ion etching
J. Vac. Sci. Technol. B 25, 1963–1968 (2007)
https://doi.org/10.1116/1.2801884
Exploring the manufacturability of using block copolymers as resist materials in conjunction with advanced lithographic tools
J. Vac. Sci. Technol. B 25, 1969–1975 (2007)
https://doi.org/10.1116/1.2801888
Creation of sub- contact using diblock copolymer on a wafer for complementary metal oxide semiconductor applications
J. Vac. Sci. Technol. B 25, 1982–1984 (2007)
https://doi.org/10.1116/1.2787732
Nanostructures using self-assembled multilayers as molecular rulers and etch resists
J. Vac. Sci. Technol. B 25, 1985–1988 (2007)
https://doi.org/10.1116/1.2811712
Rapid partial melt crystallization of silicon for monolithic three-dimensional integration
J. Vac. Sci. Technol. B 25, 1989–1992 (2007)
https://doi.org/10.1116/1.2798732
Surface energy induced patterning of organic and inorganic materials on heterogeneous Si surfaces
J. Vac. Sci. Technol. B 25, 1993–1997 (2007)
https://doi.org/10.1116/1.2804577
Electron Beam Lithography
Influence of the development process on ultimate resolution electron beam lithography, using ultrathin hydrogen silsesquioxane resist layers
J. Vac. Sci. Technol. B 25, 1998–2003 (2007)
https://doi.org/10.1116/1.2794316
Nanofabrication of high aspect ratio x-ray zone plates for x-ray imaging applications
Yan Feng; Michael Feser; Alan Lyon; Steve Rishton; Xianghui Zeng; Sharon Chen; Simone Sassolini; Wenbing Yun
J. Vac. Sci. Technol. B 25, 2004–2007 (2007)
https://doi.org/10.1116/1.2789447
Accurate control of remaining resist depth for nanoscale three-dimensional structures in electron-beam grayscale lithography
J. Vac. Sci. Technol. B 25, 2008–2012 (2007)
https://doi.org/10.1116/1.2781521
Optimal temperature for development of poly(methylmethacrylate)
J. Vac. Sci. Technol. B 25, 2013–2016 (2007)
https://doi.org/10.1116/1.2799978
Novel magnetic microstigmator for electron beam astigmatism correction in the electron beam microcolumn system
J. Vac. Sci. Technol. B 25, 2020–2024 (2007)
https://doi.org/10.1116/1.2804427
Using high-contrast salty development of hydrogen silsesquioxane for sub- half-pitch lithography
J. Vac. Sci. Technol. B 25, 2025–2029 (2007)
https://doi.org/10.1116/1.2801881
Sub- hybrid lithography (electron beam∕deep ultraviolet) and etch process for fully depleted metal oxide semiconductor transistors
J. Vac. Sci. Technol. B 25, 2030–2033 (2007)
https://doi.org/10.1116/1.2798731
Enhanced stitching for the fabrication of photonic structures by electron beam lithography
J. Vac. Sci. Technol. B 25, 2034–2037 (2007)
https://doi.org/10.1116/1.2800325
Evaluation of hybrid lithography and mix and match scenarios for electron beam direct write applications
J. Vac. Sci. Technol. B 25, 2038–2040 (2007)
https://doi.org/10.1116/1.2779043
Patterning issues in superconducting nanowire single photon detector fabrication
J. Vac. Sci. Technol. B 25, 2041–2044 (2007)
https://doi.org/10.1116/1.2806965
Influence of temperature on HSQ electron-beam lithography
J. Vac. Sci. Technol. B 25, 2045–2048 (2007)
https://doi.org/10.1116/1.2794324
Extracting the Boersch effect contribution from experimental energy spread measurements for Schottky electron emitters
J. Vac. Sci. Technol. B 25, 2049–2054 (2007)
https://doi.org/10.1116/1.2794067
Multilayer phase-only diffraction gratings: Fabrication and application to extreme ultraviolet optics
J. Vac. Sci. Technol. B 25, 2055–2058 (2007)
https://doi.org/10.1116/1.2798725
Process characterization of inductively coupled plasma etched silicon nanopillars by micro-Raman
J. Vac. Sci. Technol. B 25, 2059–2063 (2007)
https://doi.org/10.1116/1.2781514
Improving electron beam resist sensitivity by preexposure to deep ultraviolet radiation
J. Vac. Sci. Technol. B 25, 2064–2067 (2007)
https://doi.org/10.1116/1.2794070
Fabrication of spiral-phase diffractive elements using scanning-electron-beam lithography
J. Vac. Sci. Technol. B 25, 2068–2071 (2007)
https://doi.org/10.1116/1.2806961
Real-time spatial-phase locking for vector-scan electron beam lithography
J. Vac. Sci. Technol. B 25, 2072–2076 (2007)
https://doi.org/10.1116/1.2781518
Nanometer-scale gaps in hydrogen silsesquioxane resist for -gate fabrication
J. Vac. Sci. Technol. B 25, 2081–2084 (2007)
https://doi.org/10.1116/1.2798734
Effects of developer temperature on electron-beam-exposed hydrogen silsesquioxane resist for ultradense silicon nanowire fabrication
J. Vac. Sci. Technol. B 25, 2085–2088 (2007)
https://doi.org/10.1116/1.2794315
EUV Lithography
Extreme ultraviolet lithography: From research to manufacturing
Bruno La Fontaine; Yunfei Deng; Ryoung-han Kim; Harry J. Levinson; Uzodinma Okoroanyanwu; Richard Sandberg; Tom Wallow; Obert Wood
J. Vac. Sci. Technol. B 25, 2089–2093 (2007)
https://doi.org/10.1116/1.2794061
Nanoscale patterning in high resolution HSQ photoresist by interferometric lithography with tabletop extreme ultraviolet lasers
J. Vac. Sci. Technol. B 25, 2094–2097 (2007)
https://doi.org/10.1116/1.2801870
Growth and printability of multilayer phase defects on extreme ultraviolet mask blanks
Ted Liang; Erdem Ultanir; Guojing Zhang; Seh-Jin Park; Erik Anderson; Eric Gullikson; Patrick Naulleau; Farhad Salmassi; Paul Mirkarimi; Eberhard Spiller; Sherry Baker
J. Vac. Sci. Technol. B 25, 2098–2103 (2007)
https://doi.org/10.1116/1.2779044
Evaluation of surface roughness of Zerodur® substrates machined by ion beam with energy of
J. Vac. Sci. Technol. B 25, 2104–2109 (2007)
https://doi.org/10.1116/1.2800333
Figuring and smoothing capabilities of elastic emission machining for low-thermal-expansion glass optics
J. Vac. Sci. Technol. B 25, 2110–2113 (2007)
https://doi.org/10.1116/1.2789440
Estimation of diffusion lengths of acid and quencher in chemically amplified resist on the basis of extreme ultraviolet exposure results
J. Vac. Sci. Technol. B 25, 2114–2117 (2007)
https://doi.org/10.1116/1.2787867
In situ x-ray absorption near-edge structure analysis for extreme ultraviolet lithography projection optics contamination
Masahito Niibe; Yukinobu Kakutani; Keigo Koida; Shuichi Matsunari; Takashi Aoki; Shigeru Terashima; Hiromitsu Takase; Katsuhiko Murakami; Yasuaki Fukuda
J. Vac. Sci. Technol. B 25, 2118–2122 (2007)
https://doi.org/10.1116/1.2779047
Bit-array patterns with density over fabricated by extreme ultraviolet interference lithography
J. Vac. Sci. Technol. B 25, 2123–2126 (2007)
https://doi.org/10.1116/1.2799974
Extreme ultraviolet lithography at IMEC: Shadowing compensation and flare mitigation strategy
G. F. Lorusso; A. M. Goethals; R. Jonckheere; J. Hermans; K. Ronse; A. M. Myers; I. Kim; A. Niroomand; F. Iwamoto; D. Ritter
J. Vac. Sci. Technol. B 25, 2127–2131 (2007)
https://doi.org/10.1116/1.2781516
Advanced resist testing using the SEMATECH Berkeley extreme ultraviolet microfield exposure tool
Patrick P. Naulleau; Christopher N. Anderson; Kim Dean; Paul Denham; Kenneth A. Goldberg; Brian Hoef; Dimitra Niakoula; Bruno La Fontaine; Tom Wallow
J. Vac. Sci. Technol. B 25, 2132–2135 (2007)
https://doi.org/10.1116/1.2781522
Effects of photoacid generator incorporation into the polymer main chain on chemically amplified resist behavior and lithographic performance
J. Vac. Sci. Technol. B 25, 2136–2139 (2007)
https://doi.org/10.1116/1.2801868
Influence of solubility switching mechanism on resist performance in molecular glass resists
Richard A. Lawson; Cheng-Tsung Lee; Clifford L. Henderson; Robert Whetsell; Laren Tolbert; Wang Yueh
J. Vac. Sci. Technol. B 25, 2140–2144 (2007)
https://doi.org/10.1116/1.2801885
Progress in extreme ultraviolet interferometric and holographic lithography
J. Vac. Sci. Technol. B 25, 2145–2150 (2007)
https://doi.org/10.1116/1.2794069
Dual-domain scanning illuminator for the SEMATECH Berkeley microfield exposure tool
J. Vac. Sci. Technol. B 25, 2151–2154 (2007)
https://doi.org/10.1116/1.2804610
Analysis of Coulomb and Johnsen-Rahbek electrostatic chuck performance for extreme ultraviolet lithography
J. Vac. Sci. Technol. B 25, 2155–2161 (2007)
https://doi.org/10.1116/1.2798724
Ion Beam Lithography and X-ray Lithography
Production of noble gas ion beams in a focused ion beam machine using an electron beam ion trap
Falk Ullmann; Frank Grossmann; Vladimir P. Ovsyannikov; Jacques Gierak; Eric Bourhis; Jacques Ferré; Jean Pierre Jamet; Alexandra Mougin; Günter Zschornack
J. Vac. Sci. Technol. B 25, 2162–2167 (2007)
https://doi.org/10.1116/1.2799971
Fabrication of zinc nanotip arrays by ion beam sputtering
J. Vac. Sci. Technol. B 25, 2168–2170 (2007)
https://doi.org/10.1116/1.2798710
Fabrication of three-dimensional structures of resist by proton beam writing
Yusuke Furuta; Naoyuki Uchiya; Hiroyuki Nishikawa; Junji Haga; Takahiro Sato; Masakazu Oikawa; Yasuyuki Ishii; Tomihiro Kamiya
J. Vac. Sci. Technol. B 25, 2171–2174 (2007)
https://doi.org/10.1116/1.2806974
Focused ion beam iodine-enhanced etching of high aspect ratio holes in InP photonic crystals
J. Vac. Sci. Technol. B 25, 2175–2179 (2007)
https://doi.org/10.1116/1.2804607
Deposition of carbonaceous structures using focused Au and Si ion-beam-induced chemical vapor deposition methods
J. Vac. Sci. Technol. B 25, 2180–2183 (2007)
https://doi.org/10.1116/1.2798712
Membrane folding by helium ion implantation for three-dimensional device fabrication
J. Vac. Sci. Technol. B 25, 2184–2187 (2007)
https://doi.org/10.1116/1.2779049
Design studies for a high brightness, energetic neutral atom source for proximity lithography
J. Vac. Sci. Technol. B 25, 2188–2191 (2007)
https://doi.org/10.1116/1.2804604
Fabrication of a needle array using a Si gray mask for x-ray lithography
J. Vac. Sci. Technol. B 25, 2196–2201 (2007)
https://doi.org/10.1116/1.2794314
Maskless Lithography
Challenges in dot patterning using electron beam lithography for bit-patterned media
XiaoMin Yang; Shuaigang Xiao; Wei Wu; Yuan Xu; Keith Mountfield; Robert Rottmayer; Kim Lee; David Kuo; Dieter Weller
J. Vac. Sci. Technol. B 25, 2202–2209 (2007)
https://doi.org/10.1116/1.2798711
In situ monitoring and control of material growth for high resolution electron beam induced deposition
J. Vac. Sci. Technol. B 25, 2210–2214 (2007)
https://doi.org/10.1116/1.2804603
Redeposition characteristics of focused ion beam milling for nanofabrication
J. Vac. Sci. Technol. B 25, 2215–2218 (2007)
https://doi.org/10.1116/1.2806973
Resolution in focused electron- and ion-beam induced processing
J. Vac. Sci. Technol. B 25, 2219–2223 (2007)
https://doi.org/10.1116/1.2789441
Fabrication of half-pitch silicon lines by single-exposure self-aligned spatial-frequency doubling
J. Vac. Sci. Technol. B 25, 2224–2227 (2007)
https://doi.org/10.1116/1.2801889
Focused electron beam induced deposition of nickel
J. Vac. Sci. Technol. B 25, 2228–2232 (2007)
https://doi.org/10.1116/1.2794071
Oxygen assisted focused electron beam induced deposition of Si-containing materials: Growth dynamics
J. Vac. Sci. Technol. B 25, 2233–2238 (2007)
https://doi.org/10.1116/1.2798746
High brightness 100-electron-beam source for high-resolution applications
J. Vac. Sci. Technol. B 25, 2239–2244 (2007)
https://doi.org/10.1116/1.2794073
Cathode ray tube type electron gun as a source for multibeam electron lithography
J. Vac. Sci. Technol. B 25, 2245–2249 (2007)
https://doi.org/10.1116/1.2801869
Annealing of electron beam induced deposits of platinum from
J. Vac. Sci. Technol. B 25, 2250–2254 (2007)
https://doi.org/10.1116/1.2806978
Electrospun DNA nanofibers
J. Vac. Sci. Technol. B 25, 2255–2257 (2007)
https://doi.org/10.1116/1.2801886
Multiple beam sub- lithography with miniature electron beam column arrays
J. Vac. Sci. Technol. B 25, 2258–2265 (2007)
https://doi.org/10.1116/1.2811710
heterojunction photoelectron source
J. Vac. Sci. Technol. B 25, 2266–2270 (2007)
https://doi.org/10.1116/1.2779042
Subwavelength proximity nanolithography using a plasmonic lens
J. Vac. Sci. Technol. B 25, 2271–2276 (2007)
https://doi.org/10.1116/1.2804517
Monolithic multichannel secondary electron detector for distributed axis electron beam lithography and inspection
J. Vac. Sci. Technol. B 25, 2277–2283 (2007)
https://doi.org/10.1116/1.2804611
Atomic-force lithography with interferometric tip-to-substrate position metrology
J. Vac. Sci. Technol. B 25, 2284–2287 (2007)
https://doi.org/10.1116/1.2787794
Modeling Simulation and CAD
Electron beam and optical proximity effect reduction for nanolithography: New results
J. Vac. Sci. Technol. B 25, 2288–2294 (2007)
https://doi.org/10.1116/1.2806967
Image contrast slope and line edge roughness of chemically amplified resists for postoptical lithography
J. Vac. Sci. Technol. B 25, 2295–2300 (2007)
https://doi.org/10.1116/1.2794326
Study of the assist features effect on the through focus behavior in isoline with an innovative method
J. Vac. Sci. Technol. B 25, 2301–2306 (2007)
https://doi.org/10.1116/1.2789448
Pattern matching, simulation, and metrology of complex layouts fabricated by electron beam lithography
J. Vac. Sci. Technol. B 25, 2307–2311 (2007)
https://doi.org/10.1116/1.2798714
Nanoimprint and Soft Lithography
Visualization of mold filling stages in thermal nanoimprint by using pressure gradients
J. Vac. Sci. Technol. B 25, 2312–2316 (2007)
https://doi.org/10.1116/1.2806972
Ultrastiff stage for imprint lithography
J. Vac. Sci. Technol. B 25, 2317–2320 (2007)
https://doi.org/10.1116/1.2798722
Chemical nanoimprint lithography for step-and-repeat Si patterning
Hideo Namatsu; Masatoshi Oda; Atsushi Yokoo; Makoto Fukuda; Koichi Irisa; Shigeyuki Tsurumi; Kazuhiko Komatsu
J. Vac. Sci. Technol. B 25, 2321–2324 (2007)
https://doi.org/10.1116/1.2806970
Optimizing nanoimprint and transfer-bonding techniques for three-dimensional polymer microstructures
J. Vac. Sci. Technol. B 25, 2325–2328 (2007)
https://doi.org/10.1116/1.2804518
Fabrication of terahertz holograms
E. D. Walsby; J. Alton; C. H. Worrall; H. E. Beere; D. A. Ritchie; J. Leach; M. Padgett; D. R. S. Cumming
J. Vac. Sci. Technol. B 25, 2329–2332 (2007)
https://doi.org/10.1116/1.2799976
UV-nanoimprint with the assistance of gas condensation at atmospheric environmental pressure
J. Vac. Sci. Technol. B 25, 2333–2336 (2007)
https://doi.org/10.1116/1.2800334
Fabrication of 3D-photonic crystals via UV-nanoimprint lithography
Thomas Glinsner; Paul Lindner; Michael Mühlberger; Iris Bergmair; Rainer Schöftner; Kurt Hingerl; Holger Schmid; Ernst-Bernhard Kley
J. Vac. Sci. Technol. B 25, 2337–2340 (2007)
https://doi.org/10.1116/1.2798733
Time dependent analysis of the resist deformation in thermal nanoimprint
Yoshihiko Hirai; Yuki Onishi; Toshiaki Tanabe; Masayoshi Nishihata; Takuya Iwasaki; Hiroaki Kawata; Yasuroh Iriye
J. Vac. Sci. Technol. B 25, 2341–2345 (2007)
https://doi.org/10.1116/1.2804429
Nanoimprint lithography processes on Si wafer for optical application: Residual thickness etching anisotropy
J. Vac. Sci. Technol. B 25, 2346–2351 (2007)
https://doi.org/10.1116/1.2801878
Stretching and selective immobilization of DNA in SU-8 micro- and nanochannels
J. Vac. Sci. Technol. B 25, 2352–2356 (2007)
https://doi.org/10.1116/1.2806975
Sub- three-dimensional nanoimprint lithography
J. Vac. Sci. Technol. B 25, 2361–2364 (2007)
https://doi.org/10.1116/1.2811715
Surface characterization of imprinted resist above glass transition temperature
J. Vac. Sci. Technol. B 25, 2365–2369 (2007)
https://doi.org/10.1116/1.2799975
Micro-nano mixture patterning by thermal-UV novel nanoimprint
J. Vac. Sci. Technol. B 25, 2370–2372 (2007)
https://doi.org/10.1116/1.2801859
Benchmarking of features in thermal nanoimprint
C. Gourgon; N. Chaix; H. Schift; M. Tormen; S. Landis; C. M. Sotomayor Torres; A. Kristensen; R. H. Pedersen; M. B. Christiansen; I. Fernandez-Cuesta; D. Mendels; L. Montelius; T. Haatainen
J. Vac. Sci. Technol. B 25, 2373–2378 (2007)
https://doi.org/10.1116/1.2794064
Coarse-grain simulation of viscous flow and stamp deformation in nanoimprint
J. Vac. Sci. Technol. B 25, 2379–2383 (2007)
https://doi.org/10.1116/1.2812534
Photopolymerization kinetic study of UV nanoimprint lithography dedicated resists
J. Vac. Sci. Technol. B 25, 2384–2387 (2007)
https://doi.org/10.1116/1.2804519
Bilayer metal wire-grid polarizer fabricated by roll-to-roll nanoimprint lithography on flexible plastic substrate
J. Vac. Sci. Technol. B 25, 2388–2391 (2007)
https://doi.org/10.1116/1.2798747
Characterizing nanoimprint profile shape and polymer flow behavior using visible light angular scatterometry
J. Vac. Sci. Technol. B 25, 2396–2401 (2007)
https://doi.org/10.1116/1.2800327
Nonresidual layer imprinting and new replication capabilities demonstrated for fast thermal curable polydimethysiloxanes
J. Vac. Sci. Technol. B 25, 2402–2406 (2007)
https://doi.org/10.1116/1.2800326
Simple fabrication of UV nanoimprint templates using critical energy electron beam lithography
J. Vac. Sci. Technol. B 25, 2407–2411 (2007)
https://doi.org/10.1116/1.2806976
Creating micro- and nanostructures on tubular and spherical surfaces
J. Vac. Sci. Technol. B 25, 2412–2418 (2007)
https://doi.org/10.1116/1.2804428
Solid-state electrochemical nanoimprinting of copper
J. Vac. Sci. Technol. B 25, 2419–2424 (2007)
https://doi.org/10.1116/1.2799977
Economic approximate models for backscattered electrons
J. Vac. Sci. Technol. B 25, 2425–2429 (2007)
https://doi.org/10.1116/1.2794068
Improved release strategy for UV nanoimprint lithography
Sophie Garidel; Marc Zelsmann; Nicolas Chaix; Pauline Voisin; Jumana Boussey; Arnaud Beaurain; Bernard Pelissier
J. Vac. Sci. Technol. B 25, 2430–2434 (2007)
https://doi.org/10.1116/1.2806969
Viscosity measurement of nanoimprint lithography resists with a rheological nanoindenter
J. Vac. Sci. Technol. B 25, 2435–2438 (2007)
https://doi.org/10.1116/1.2794321
Optical Lithography
Phase control in multiexposure spatial frequency multiplication
J. Vac. Sci. Technol. B 25, 2439–2443 (2007)
https://doi.org/10.1116/1.2794318
Study of process contributions to total overlay error budget for sub- memory devices
Jangho Shin; Hyunjae Kang; SungWon Choi; Seoukhoon Woo; Hochul Kim; SukJoo Lee; Junghyeon Lee; Chang-Jin Kang
J. Vac. Sci. Technol. B 25, 2444–2446 (2007)
https://doi.org/10.1116/1.2787772
Deep ultraviolet photolithography capability of ZEP520A electron beam resist for mix and match lithography
J. Vac. Sci. Technol. B 25, 2447–2450 (2007)
https://doi.org/10.1116/1.2794072
Photolithography using an optical microscope
J. Vac. Sci. Technol. B 25, 2451–2452 (2007)
https://doi.org/10.1116/1.2779046
Experimental demonstration of dark field illumination using contact hole features
J. Vac. Sci. Technol. B 25, 2453–2460 (2007)
https://doi.org/10.1116/1.2800323
Double patterning overlay budget for technology node single and double mask approach
J. Vac. Sci. Technol. B 25, 2461–2465 (2007)
https://doi.org/10.1116/1.2805246
Application of contrast enhancement layer to lithography
J. Vac. Sci. Technol. B 25, 2466–2470 (2007)
https://doi.org/10.1116/1.2798705
Lithography, plasmonics, and subwavelength aperture exposure technology
J. Vac. Sci. Technol. B 25, 2471–2475 (2007)
https://doi.org/10.1116/1.2812524
Laser interferometric nanolithography using a new positive chemical amplified resist
J. Vac. Sci. Technol. B 25, 2476–2480 (2007)
https://doi.org/10.1116/1.2800328
Photoresist Technology
Acid distribution in chemically amplified extreme ultraviolet resist
J. Vac. Sci. Technol. B 25, 2481–2485 (2007)
https://doi.org/10.1116/1.2794063
Dissolution characteristics and reaction kinetics of molecular resists for extreme-ultraviolet lithography
J. Vac. Sci. Technol. B 25, 2486–2489 (2007)
https://doi.org/10.1116/1.2787850
Are extreme ultraviolet resists ready for the node?
Karen Petrillo; Yayi Wei; R. Brainard; G. Denbeaux; Dario Goldfarb; C.-S. Koay; J. Mackey; Warren Montgomery; W. Pierson; T. Wallow; Obert Wood
J. Vac. Sci. Technol. B 25, 2490–2495 (2007)
https://doi.org/10.1116/1.2787815
Measurements of acid generation by extreme ultraviolet irradiation in lithographic films
J. Vac. Sci. Technol. B 25, 2496–2503 (2007)
https://doi.org/10.1116/1.2779045
Pixelated chemically amplified resists: Investigation of material structure on the spatial distribution of photoacids and line edge roughness
Young-Hye La; Insik-In; Sang-Min Park; Robert P. Meagley; Melvina Leolukman; Padma Gopalan; Paul F. Nealey
J. Vac. Sci. Technol. B 25, 2508–2513 (2007)
https://doi.org/10.1116/1.2800330
Direct measurement of the spatial extent of the in situ developed latent image by neutron reflectivity
J. Vac. Sci. Technol. B 25, 2514–2520 (2007)
https://doi.org/10.1116/1.2800329
Metrology
High throughput defect detection with multiple parallel electron beams
J. Vac. Sci. Technol. B 25, 2521–2525 (2007)
https://doi.org/10.1116/1.2789449
Photoresist cross-sectioning with negligible damage using a dual-beam FIB-SEM: A high throughput method for profile imaging
J. Vac. Sci. Technol. B 25, 2526–2530 (2007)
https://doi.org/10.1116/1.2804516
Nanodevices
Hybrid semiconductor/nanoelectronic circuits: Freeing advanced lithography from the alignment accuracy burden
J. Vac. Sci. Technol. B 25, 2531–2536 (2007)
https://doi.org/10.1116/1.2794060
Photolithographic synthesis of high-density DNA probe arrays: Challenges and opportunities
Adam R. Pawloski; Glenn McGall; Robert G. Kuimelis; Dale Barone; Andrea Cuppoletti; Paul Ciccolella; Eric Spence; Farhana Afroz; Paul Bury; Christy Chen; Chuan Chen; Dexter Pao; Mary Le; Becky McGee; Elizabeth Harkins; Michael Savage; Sim Narasimhan; Martin Goldberg; Richard Rava; Stephen P. A. Fodor
J. Vac. Sci. Technol. B 25, 2537–2546 (2007)
https://doi.org/10.1116/1.2794325
Helium ion microscope invasiveness and imaging study for semiconductor applications
J. Vac. Sci. Technol. B 25, 2547–2552 (2007)
https://doi.org/10.1116/1.2794319
Dry etch release processes for micromachining applications
J. Vac. Sci. Technol. B 25, 2553–2557 (2007)
https://doi.org/10.1116/1.2794074
Mechanical properties of suspended graphene sheets
J. Vac. Sci. Technol. B 25, 2558–2561 (2007)
https://doi.org/10.1116/1.2789446
Surface gate and contact alignment for buried, atomically precise scanning tunneling microscopy–patterned devices
J. Vac. Sci. Technol. B 25, 2562–2567 (2007)
https://doi.org/10.1116/1.2781512
Novel coexisted sol-gel derived poly-Si-oxide-nitride-oxide-silicon type memory
J. Vac. Sci. Technol. B 25, 2568–2571 (2007)
https://doi.org/10.1116/1.2794327
Adaptive wiring for scale epitaxial silicon Ohmic contacts to silicon nanowires
M. J. Rooks; G. M. Cohen; J. O. Chu; P. M. Solomon; J. A. Ott; R. J. Miller; R. Viswanathan; W. Haensch
J. Vac. Sci. Technol. B 25, 2572–2576 (2007)
https://doi.org/10.1116/1.2798738
Hybrid carbon nanotube-silicon complementary metal oxide semiconductor circuits
J. Vac. Sci. Technol. B 25, 2577–2580 (2007)
https://doi.org/10.1116/1.2800322
Hydrogen plasma-enhanced atomic layer deposition of copper thin films
J. Vac. Sci. Technol. B 25, 2581–2585 (2007)
https://doi.org/10.1116/1.2779050
Emission characteristics of and liquid metal ion sources
J. Vac. Sci. Technol. B 25, 2586–2592 (2007)
https://doi.org/10.1116/1.2781520
Fabrication of ultrahigh aspect ratio freestanding gratings on silicon-on-insulator wafers
J. Vac. Sci. Technol. B 25, 2593–2597 (2007)
https://doi.org/10.1116/1.2779048
Soft x-ray imaging of spin dynamics at high spatial and temporal resolution
J. Vac. Sci. Technol. B 25, 2598–2602 (2007)
https://doi.org/10.1116/1.2806977
Ultrathin magnetic multilayer films for low-field microwave notch filters
J. Vac. Sci. Technol. B 25, 2603–2606 (2007)
https://doi.org/10.1116/1.2801887
Study of fluorine bombardment on the electrical properties of heterostructures
J. Vac. Sci. Technol. B 25, 2607–2610 (2007)
https://doi.org/10.1116/1.2789444
First-principles calculation of electronic structure and magnetic properties of copper adsorbed polar-ZnO surface
J. Vac. Sci. Technol. B 25, 2616–2618 (2007)
https://doi.org/10.1116/1.2806962
Nickel nanowires for planer microwave circuit applications and characterization
J. Vac. Sci. Technol. B 25, 2619–2623 (2007)
https://doi.org/10.1116/1.2801964
In situ visualization of local electric field in an ultrasharp tungsten emitter under a low voltage scanning transmission electron microscope
Jun-Ichi Fujita; Yuta Ikeda; Satoshi Okada; Kodai Higashi; Shotaro Nakasawa; Masahiko Ishida; Shinji Matsui
J. Vac. Sci. Technol. B 25, 2624–2627 (2007)
https://doi.org/10.1116/1.2800332
Photonics
Fabrication and tuning of nanoscale metallic ring and split-ring arrays
J. Vac. Sci. Technol. B 25, 2628–2631 (2007)
https://doi.org/10.1116/1.2794328
Fabrication of two dimensional GaN nanophotonic crystals (31)
Bifeng Rong; Huub W. M. Salemink; Erik M. Roeling; Rob van der Heijden; Fouad Karouta; Emile van der Drift
J. Vac. Sci. Technol. B 25, 2632–2636 (2007)
https://doi.org/10.1116/1.2794066
Semitransparent Cu electrode on a flexible substrate and its application in organic light emitting diodes
J. Vac. Sci. Technol. B 25, 2637–2641 (2007)
https://doi.org/10.1116/1.2801873
Photoluminescence enhancement in metallic nanocomposite printable polymer
V. Reboud; N. Kehagias; M. Striccoli; T. Placido; A. Panniello; M. L. Curri; M. Zelsmann; F. Reuther; G. Gruetzner; C. M. Sotomayor Torres
J. Vac. Sci. Technol. B 25, 2642–2644 (2007)
https://doi.org/10.1116/1.2789445
Fabrication of high aspect ratio Si nanogratings with smooth sidewalls for a deep UV-blocking particle filter
J. Vac. Sci. Technol. B 25, 2645–2648 (2007)
https://doi.org/10.1116/1.2804612
V-groove plasmonic waveguides fabricated by nanoimprint lithography
Irene Fernandez-Cuesta; Rasmus Bundgaard Nielsen; Alexandra Boltasseva; Xavier Borrisé; Francesc Pérez-Murano; Anders Kristensen
J. Vac. Sci. Technol. B 25, 2649–2653 (2007)
https://doi.org/10.1116/1.2779041
half-pitch plastic wire-grid polarizer by nanoimprint lithography
J. Vac. Sci. Technol. B 25, 2654–2657 (2007)
https://doi.org/10.1116/1.2801967
Optimization of hydrogen silsesquioxane for photonic applications
J. Vac. Sci. Technol. B 25, 2658–2661 (2007)
https://doi.org/10.1116/1.2787832
Membrane stacking: A new approach for three-dimensional nanostructure fabrication
J. Vac. Sci. Technol. B 25, 2662–2664 (2007)
https://doi.org/10.1116/1.2799979
Future of plasma etching for microelectronics: Challenges and opportunities
Gottlieb S. Oehrlein, Stephan M. Brandstadter, et al.
Transferable GeSn ribbon photodetectors for high-speed short-wave infrared photonic applications
Haochen Zhao, Suho Park, et al.
Machine learning driven measurement of high-aspect-ratio nanostructures using Mueller matrix spectroscopic ellipsometry
Shiva Mudide, Nick Keller, et al.