Skip Nav Destination
Issues
November 2006
This content was originally published in
Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena
ISSN 1071-1023
EISSN 1520-8567
In this Issue
Review Article
On the use of alloying elements for Cu interconnect applications
J. Vac. Sci. Technol. B 24, 2485–2498 (2006)
https://doi.org/10.1116/1.2357744
Regular Articles
Micromechanical resonators and filters for microelectromechanical system applications
J. Vac. Sci. Technol. B 24, 2499–2508 (2006)
https://doi.org/10.1116/1.2356865
Microscopic approach to an equation for the heat flow between wafer and E-chuck
J. Vac. Sci. Technol. B 24, 2509–2517 (2006)
https://doi.org/10.1116/1.2357745
Metal-insulator-metal capacitors using atomic-layer-deposited sandwiched dielectrics for wireless communications
J. Vac. Sci. Technol. B 24, 2518–2522 (2006)
https://doi.org/10.1116/1.2357746
Area selective atomic layer deposition of titanium dioxide: Effect of precursor chemistry
J. Vac. Sci. Technol. B 24, 2523–2532 (2006)
https://doi.org/10.1116/1.2359728
Focused ion beam fabrication of two dimensional photonic crystals in silicon-on-insulator
J. Vac. Sci. Technol. B 24, 2533–2537 (2006)
https://doi.org/10.1116/1.2359729
Generalized model of the metal/ Schottky interface and improved performance by electrochemical Pt deposition
J. Vac. Sci. Technol. B 24, 2544–2552 (2006)
https://doi.org/10.1116/1.2359731
Direct correlation of negative magnetoresistance with concentrations of localized holes in Be delta-doped GaAs structures
J. Vac. Sci. Technol. B 24, 2560–2565 (2006)
https://doi.org/10.1116/1.2359733
Direct-write electron-beam lithography of an IR antenna-coupled microbolometer onto the surface of a hemispherical lens
J. Vac. Sci. Technol. B 24, 2566–2569 (2006)
https://doi.org/10.1116/1.2360978
Effect of the addition of argon to reactive nitrogen gas on field emission properties of amorphous carbon nitride films
J. Vac. Sci. Technol. B 24, 2570–2574 (2006)
https://doi.org/10.1116/1.2360980
Field electron emission characteristics of diamond films with different grain morphologies
J. Vac. Sci. Technol. B 24, 2575–2580 (2006)
https://doi.org/10.1116/1.2362742
high-electron-mobility transistors by molecular-beam epitaxy for low-power applications
M. D. Lange; R. S. Tsai; W. R. Deal; P. S. Nam; L. J. Lee; R. S. Sandhu; R. Hsing; B. D. Poust; J. L. Kraus; A. L. Gutierrez-Aitken; B. R. Bennett; J. B. Boos; A. M. Noori; S. L. Hayashi; M. S. Goorsky
J. Vac. Sci. Technol. B 24, 2581–2585 (2006)
https://doi.org/10.1116/1.2362758
Epitaxial growth and strain relaxation of MgO thin films on Si grown by molecular beam epitaxy
J. Vac. Sci. Technol. B 24, 2586–2591 (2006)
https://doi.org/10.1116/1.2362759
Controlled electroplating for high-aspect-ratio zone-plate fabrication
J. Vac. Sci. Technol. B 24, 2592–2596 (2006)
https://doi.org/10.1116/1.2362761
Mobility and charge density tuning in double -doped pseudomorphic high-electron-mobility transistors grown by metal organic chemical vapor deposition
J. Vac. Sci. Technol. B 24, 2597–2600 (2006)
https://doi.org/10.1116/1.2362783
Fabrication and characterization of high breakdown voltage heterojunction field effect transistors on sapphire substrates
J. Vac. Sci. Technol. B 24, 2601–2605 (2006)
https://doi.org/10.1116/1.2366542
Proposal of a new microreactor for vertical chemical operation
J. Vac. Sci. Technol. B 24, 2606–2611 (2006)
https://doi.org/10.1116/1.2366609
Electron-beam lithography of multilayer with hydrogen silsesquioxane and amorphous Si intermediate layer
J. Vac. Sci. Technol. B 24, 2616–2620 (2006)
https://doi.org/10.1116/1.2366615
Electrical properties of fluorine-doped silicon-oxycarbide dielectric barrier for copper interconnect
J. Vac. Sci. Technol. B 24, 2621–2626 (2006)
https://doi.org/10.1116/1.2366541
Magnetization losses in submicrometer CoFeB dots etched in a high ion density -based plasma
C. G. C. H. M. Fabrie; J. T. Kohlhepp; H. J. M. Swagten; B. Koopmans; M. S. P. Andriesse; E. van der Drift
J. Vac. Sci. Technol. B 24, 2627–2630 (2006)
https://doi.org/10.1116/1.2366547
Actinic extreme ultraviolet lithography mask blank defect inspection by photoemission electron microscopy
Jingquan Lin; Ulrich Neuhaeusler; Jawad Slieh; Armin Brechling; Ulf Kleineberg; Ulrich Heinzmann; Andreas Oelsner; Dima Valdaitsev; Gerd Schoenhense; Nils Weber; Matthias Escher; Michael Merkel
J. Vac. Sci. Technol. B 24, 2631–2635 (2006)
https://doi.org/10.1116/1.2366607
Study of diffusion barriers for Au metal on liquid phase oxidized GaAs
J. Vac. Sci. Technol. B 24, 2640–2644 (2006)
https://doi.org/10.1116/1.2366545
Effects of various plasma pretreatments on photoresist and linewidth roughness after etching
J. Vac. Sci. Technol. B 24, 2645–2652 (2006)
https://doi.org/10.1116/1.2366616
Mobility study of a new naphthalenetetracarboxylic diimide derivative
J. Vac. Sci. Technol. B 24, 2653–2658 (2006)
https://doi.org/10.1116/1.2366611
Suppression of surface segregation of silicon dopants during molecular beam epitaxy of pseudomorphic high electron mobility transistor structures
J. Vac. Sci. Technol. B 24, 2668–2671 (2006)
https://doi.org/10.1116/1.2382944
Anisotropic high aspect ratio etch for perfluorcyclobutyl polymers with stress relief technique
J. Vac. Sci. Technol. B 24, 2672–2677 (2006)
https://doi.org/10.1116/1.2382945
Plasma oxidation of polyhedral oligomeric silsesquioxane polymers
J. Vac. Sci. Technol. B 24, 2678–2688 (2006)
https://doi.org/10.1116/1.2382947
Effects of hard masks on etching properties of metal gates
J. Vac. Sci. Technol. B 24, 2689–2694 (2006)
https://doi.org/10.1116/1.2382950
Time of flight secondary ion mass spectroscopy investigation of ultralow- dielectric modifications in hydrogen and deuterium plasmas
P. Lazzeri; G. J. Stueber; G. S. Oehrlein; R. McGowan; E. Busch; S. Pederzoli; M. Bersani; M. Anderle
J. Vac. Sci. Technol. B 24, 2695–2701 (2006)
https://doi.org/10.1116/1.2382949
Thin-film transistors with amorphous indium gallium oxide channel layers
H. Q. Chiang; D. Hong; C. M. Hung; R. E. Presley; John F. Wager; C.-H Park; D. A. Keszler; G. S. Herman
J. Vac. Sci. Technol. B 24, 2702–2705 (2006)
https://doi.org/10.1116/1.2366569
Demonstration of spatially programmable chemical vapor deposition: Model-based uniformity∕nonuniformity control
J. Vac. Sci. Technol. B 24, 2706–2715 (2006)
https://doi.org/10.1116/1.2359735
Low-resistance Ohmic contacts developed on undoped -based high electron mobility transistors with AlN interlayer
J. Vac. Sci. Technol. B 24, 2723–2725 (2006)
https://doi.org/10.1116/1.2395964
Electron cyclotron plasma etching damage investigated by quantum well photoluminescence
J. Vac. Sci. Technol. B 24, 2726–2730 (2006)
https://doi.org/10.1116/1.2366543
Characterization and optimization of a -channel poly(-methoxyaniline) based thin film transistor
J. Vac. Sci. Technol. B 24, 2731–2736 (2006)
https://doi.org/10.1116/1.2382946
Effects of Zn content on structural and transparent conducting properties of indium-zinc oxide films grown by rf magnetron sputtering
Jae-Soung Park; Ju-Il Song; Young-Woo Heo; Joon-Hyung Lee; Jeong-Joo Kim; W. T. Lim; L. Stafford; D. P. Norton; S. J. Pearton
J. Vac. Sci. Technol. B 24, 2737–2740 (2006)
https://doi.org/10.1116/1.2393246
Deviations from ideal nucleation-limited relaxation in high-Ge content compositionally graded
J. Vac. Sci. Technol. B 24, 2741–2747 (2006)
https://doi.org/10.1116/1.2366584
Mechanisms of isotropic and selective etching between SiGe and Si
J. Vac. Sci. Technol. B 24, 2748–2754 (2006)
https://doi.org/10.1116/1.2393244
Custom design of optical-grade thin films of silicon oxide by direct-write electron-beam-induced deposition
J. Vac. Sci. Technol. B 24, 2755–2760 (2006)
https://doi.org/10.1116/1.2393245
Brief Reports and Comments
Interconnecting single nano-objects on surfaces for transport experiments
A. Della Torre; P. P. Pompa; L. L. del Mercato; R. Cingolani; R. Rinaldi; S. Shiv Shankar; M. Sastry
J. Vac. Sci. Technol. B 24, 2765–2768 (2006)
https://doi.org/10.1116/1.2366606
Fabrication of nanoelectromechanical resonators using a cryogenic etching technique
J. Vac. Sci. Technol. B 24, 2769–2771 (2006)
https://doi.org/10.1116/1.2366608
Reverse transfer of nanostencil patterns using intermediate sacrificial layer and lift-off process
J. Vac. Sci. Technol. B 24, 2772–2775 (2006)
https://doi.org/10.1116/1.2366610
Shop Notes
Enabling in situ atomic scale surface imaging for vertical molecular beam epitaxy machines
J. Vac. Sci. Technol. B 24, 2776–2778 (2006)
https://doi.org/10.1116/1.2395963
PAPERS FROM THE 50th INTERNATIONAL CONFERENCE ON ELECTRON, ION, AND PHOTON BEAM TECHNOLOGY AD NANOFABRICATION
Optical Lithography
Immersion patterning down to half pitch
J. Vac. Sci. Technol. B 24, 2789–2797 (2006)
https://doi.org/10.1116/1.2366678
Effect of resist surface characteristics on film-pulling velocity in immersion lithography
S. Schuetter; T. Shedd; G. Nellis; A. Romano; R. Dammel; M. Padmanaban; F. Houlihan; A. Krawicz; G. Lin; D. Rahman; S. Chakrapani; M. Neisser; C. Van Peski
J. Vac. Sci. Technol. B 24, 2798–2802 (2006)
https://doi.org/10.1116/1.2387160
Impact of stray light depending on image quality: An approximation using total integrated scatter
J. Vac. Sci. Technol. B 24, 2803–2807 (2006)
https://doi.org/10.1116/1.2357965
Generation of isofocal target patterns using process modeling during optical proximity correction
J. Vac. Sci. Technol. B 24, 2815–2819 (2006)
https://doi.org/10.1116/1.2397069
EUV Lithography
Novel absorber stack for minimizing shadow effect in extreme ultraviolet mask
Tae Geun Kim; Byung Hun Kim; In-Yong Kang; Yong-Chae Chung; Jinho Ahn; Seung Yoon Lee; In-Sung Park; Chung Yong Kim; Nae-Eung Lee
J. Vac. Sci. Technol. B 24, 2820–2823 (2006)
https://doi.org/10.1116/1.2393295
Actinic inspection of extreme ultraviolet programed multilayer defects and cross-comparison measurements
Kenneth A. Goldberg; Anton Barty; Yanwei Liu; Patrick Kearney; Yoshihiro Tezuka; Tsuneo Terasawa; John S. Taylor; Hak-Seung Han; Obert R. Wood, II
J. Vac. Sci. Technol. B 24, 2824–2828 (2006)
https://doi.org/10.1116/1.2375085
Distortion of chucked extreme ultraviolet reticles from entrapped particles
J. Vac. Sci. Technol. B 24, 2829–2833 (2006)
https://doi.org/10.1116/1.2375078
Electrostatic chucking for extreme ultraviolet lithography: Simulations and experiments
M. Nataraju; J. Sohn; S. Veeraraghavan; A. R. Mikkelson; K. T. Turner; R. L. Engelstad; C. K. Van Peski; K. J. Orvek
J. Vac. Sci. Technol. B 24, 2834–2839 (2006)
https://doi.org/10.1116/1.2388967
Synchrotron Lithography
Three-dimensional patterning using fine step motion in synchrotron radiation lithography
J. Vac. Sci. Technol. B 24, 2840–2843 (2006)
https://doi.org/10.1116/1.2366620
Pulse reverse plating for uniform nickel height in zone plates
J. Vac. Sci. Technol. B 24, 2848–2851 (2006)
https://doi.org/10.1116/1.2395953
Maskless Lithography
Spatial light modulator for maskless optical projection lithography
G. P. Watson; V. Aksyuk; M. E. Simon; D. M. Tennant; R. A. Cirelli; W. M. Mansfield; F. Pardo; D. O. Lopez; C. A. Bolle; A. R. Papazian; N. Basavanhally; J. Lee; R. Fullowan; F. Klemens; J. Miner; A. Kornblit; T. Sorsch; L. Fetter; M. Peabody; J. E. Bower; J. S. Weiner; Y. L. Low
J. Vac. Sci. Technol. B 24, 2852–2856 (2006)
https://doi.org/10.1116/1.2387156
Integrated multi-electron-beam blanker array for sub- electron beam induced deposition
J. Vac. Sci. Technol. B 24, 2857–2860 (2006)
https://doi.org/10.1116/1.2366677
Masks
Electrostatic chuck fringe field simulation and its impact on electron beam extreme ultraviolet mask patterning
J. Vac. Sci. Technol. B 24, 2861–2865 (2006)
https://doi.org/10.1116/1.2393291
Predicting electron projection lithography mask membrane image placement errors
J. Vac. Sci. Technol. B 24, 2866–2870 (2006)
https://doi.org/10.1116/1.2366655
Charged Particle Sources, Optics and Systems
Helium ion microscope: A new tool for nanoscale microscopy and metrology
J. Vac. Sci. Technol. B 24, 2871–2874 (2006)
https://doi.org/10.1116/1.2357967
Orientation dependence of linewidth variation in sub- Gaussian e-beam lithography and its correction
J. Vac. Sci. Technol. B 24, 2881–2885 (2006)
https://doi.org/10.1116/1.2393292
Photoelectron emission studies in CsBr at
J. Vac. Sci. Technol. B 24, 2886–2891 (2006)
https://doi.org/10.1116/1.2363410
Comparison of parameters for Schottky and cold field emission sources
J. Vac. Sci. Technol. B 24, 2897–2901 (2006)
https://doi.org/10.1116/1.2366675
Ion Beam Lithography Technology
High brightness inductively coupled plasma source for high current focused ion beam applications
N. S. Smith; W. P. Skoczylas; S. M. Kellogg; D. E. Kinion; P. P. Tesch; O. Sutherland; A. Aanesland; R. W. Boswell
J. Vac. Sci. Technol. B 24, 2902–2906 (2006)
https://doi.org/10.1116/1.2366617
Using laser-cooled atoms as a focused ion beam source
J. Vac. Sci. Technol. B 24, 2907–2910 (2006)
https://doi.org/10.1116/1.2363406
Mechanical characteristics and applications of diamondlike-carbon cantilevers fabricated by focused-ion-beam chemical vapor deposition
Jun-ya Igaki; Ken-ichiro Nakamatsu; Reo Kometani; Kazuhiro Kanda; Yuichi Haruyama; Takashi Kaito; Shinji Matsui
J. Vac. Sci. Technol. B 24, 2911–2914 (2006)
https://doi.org/10.1116/1.2357960
Estimation of scattered particle exposure in ion beam aperture array lithography
J. Vac. Sci. Technol. B 24, 2915–2919 (2006)
https://doi.org/10.1116/1.2366619
Electron Beam Lithography Technology
Electron beam lithography for magnetic recording heads: Characterization and optimization of critical components
J. Vac. Sci. Technol. B 24, 2920–2925 (2006)
https://doi.org/10.1116/1.2357966
Two-dimensional Bragg grating lasers defined by electron-beam lithography
J. Vac. Sci. Technol. B 24, 2926–2930 (2006)
https://doi.org/10.1116/1.2375080
Predicted effect of shot noise on contact hole dimension in e-beam lithography
J. Vac. Sci. Technol. B 24, 2931–2935 (2006)
https://doi.org/10.1116/1.2387153
Process optimization and proximity effect correction for gray scale e-beam lithography
J. Vac. Sci. Technol. B 24, 2936–2939 (2006)
https://doi.org/10.1116/1.2357962
Electron-beam patterning and process optimization for magnetic sensor fabrication
J. Vac. Sci. Technol. B 24, 2940–2944 (2006)
https://doi.org/10.1116/1.2366699
Silicon photodiodes for low-voltage electron detection in scanning electron microscopy and electron beam lithography
J. Vac. Sci. Technol. B 24, 2951–2955 (2006)
https://doi.org/10.1116/1.2363405
Technique to automatically measure electron-beam diameter and astigmatism: BEAMETR
J. Vac. Sci. Technol. B 24, 2956–2959 (2006)
https://doi.org/10.1116/1.2387158
Nanoimprint Lithography
Replication of diffractive-optical arrays via photocurable nanoimprint lithography
J. Vac. Sci. Technol. B 24, 2960–2963 (2006)
https://doi.org/10.1116/1.2363401
Nanowire fin field effect transistors via UV-based nanoimprint lithography
A. Fuchs; M. Bender; U. Plachetka; L. Kock; T. Wahlbrink; H. D. B. Gottlob; J. K. Efavi; M. Moeller; M. Schmidt; T. Mollenhauer; C. Moormann; M. C. Lemme; H. Kurz
J. Vac. Sci. Technol. B 24, 2964–2967 (2006)
https://doi.org/10.1116/1.2395956
Evidence for internal stresses induced by nanoimprint lithography
Hyun Wook Ro; Yifu Ding; Hae-Jeong Lee; Daniel R. Hines; Ronald L. Jones; Eric K. Lin; Alamgir Karim; Wen-li Wu; Christopher L. Soles
J. Vac. Sci. Technol. B 24, 2973–2978 (2006)
https://doi.org/10.1116/1.2387157
Direct die-to-database electron beam inspection of fused silica imprint templates
D. J. Resnick; L. J. Myron; E. Thompson; T. Hasebe; T. Tokumoto; C. Yan; M. Yamamoto; H. Wakamori; M. Inoue; Eric Ainley; Kevin J. Nordquist; William J. Dauksher
J. Vac. Sci. Technol. B 24, 2979–2983 (2006)
https://doi.org/10.1116/1.2357963
Atomic force microscopy local anodic oxidation of thin layers for robust prototyping of nanostructures
J. Vac. Sci. Technol. B 24, 2988–2992 (2006)
https://doi.org/10.1116/1.2375082
Deposition and patterning of diamondlike carbon as antiwear nanoimprint templates
S. Ramachandran; L. Tao; T. H. Lee; S. Sant; L. J. Overzet; M. J. Goeckner; M. J. Kim; G. S. Lee; W. Hu
J. Vac. Sci. Technol. B 24, 2993–2997 (2006)
https://doi.org/10.1116/1.2363409
Thermal imprint with negligibly low residual layer
J. Vac. Sci. Technol. B 24, 2998–3001 (2006)
https://doi.org/10.1116/1.2388964
Submicron three-dimensional structures fabricated by reverse contact UV nanoimprint lithography
N. Kehagias; Vincent Reboud; G. Chansin; M. Zelsmann; C. Jeppesen; F. Reuther; C. Schuster; M. Kubenz; G. Gruetzner; C. M. Sotomayor Torres
J. Vac. Sci. Technol. B 24, 3002–3005 (2006)
https://doi.org/10.1116/1.2388962
Influence of light polarization on UV stabilization of prepatterned resists
J. Vac. Sci. Technol. B 24, 3006–3010 (2006)
https://doi.org/10.1116/1.2395961
Influence of mold depth on capillary bridges in nanoimprint lithography
J. Vac. Sci. Technol. B 24, 3011–3015 (2006)
https://doi.org/10.1116/1.2393249
Layer thickness-induced lens distortions during thermal nanoimprint
J. Vac. Sci. Technol. B 24, 3016–3020 (2006)
https://doi.org/10.1116/1.2388966
Resist Science and Technology
Epoxy-containing ArF resists with narrow molecular weight distribution
J. Vac. Sci. Technol. B 24, 3021–3024 (2006)
https://doi.org/10.1116/1.2357971
Pattern noise in electron beam resists: PMMA, KRS-XE, TOK, HSQ
J. Vac. Sci. Technol. B 24, 3025–3030 (2006)
https://doi.org/10.1116/1.2393248
Contribution of photoacid generator to material roughness
Theodore H. Fedynyshyn; Indira Pottebaum; David K. Astolfi; Alberto Cabral; Jeanette Roberts; Robert Meagley
J. Vac. Sci. Technol. B 24, 3031–3039 (2006)
https://doi.org/10.1116/1.2395954
Three-dimensional resist development simulation with discrete models
J. Vac. Sci. Technol. B 24, 3040–3043 (2006)
https://doi.org/10.1116/1.2397071
Exposure dose effects on the reaction-diffusion process in model extreme ultraviolet photoresists
Kristopher A. Lavery; Bryan D. Vogt; Vivek M. Prabhu; Eric K. Lin; Wen-Li Wu; Sushil K. Satija; Kwang-Woo Choi
J. Vac. Sci. Technol. B 24, 3044–3047 (2006)
https://doi.org/10.1116/1.2375086
Scanning x-ray microscopy investigations into the electron-beam exposure mechanism of hydrogen silsesquioxane resists
Deirdre L. Olynick; J. Alexander Liddle; Alexei V. Tivanski; Mary K. Gilles; Tolek Tyliszczak; Farhad Salmassi; Kathy Liang; Stephen R. Leone
J. Vac. Sci. Technol. B 24, 3048–3054 (2006)
https://doi.org/10.1116/1.2395957
Analysis of acid yield generated in chemically amplified electron beam resist
Takahiro Kozawa; Takumi Shigaki; Kazumasa Okamoto; Akinori Saeki; Seiichi Tagawa; Toshiyuki Kai; Tsutomu Shimokawa
J. Vac. Sci. Technol. B 24, 3055–3060 (2006)
https://doi.org/10.1116/1.2366656
Effect of cold development on improvement in electron-beam nanopatterning resolution and line roughness
J. Vac. Sci. Technol. B 24, 3061–3065 (2006)
https://doi.org/10.1116/1.2366698
Correlation between proton dynamics and line edge roughness in chemically amplified resist for post-optical lithography
J. Vac. Sci. Technol. B 24, 3066–3072 (2006)
https://doi.org/10.1116/1.2393250
Time-dependent exposure dose of hydrogen silsesquioxane when used as a negative electron-beam resist
J. Vac. Sci. Technol. B 24, 3073–3076 (2006)
https://doi.org/10.1116/1.2366697
Metrology, Inspection and Alignment
Transmission electron microscopy: A linewidth measurement technique for lithography
J. Vac. Sci. Technol. B 24, 3077–3082 (2006)
https://doi.org/10.1116/1.2387159
Nanometer-precision pattern registration for scanning-probe lithographies using interferometric-spatial-phase imaging
J. Vac. Sci. Technol. B 24, 3083–3087 (2006)
https://doi.org/10.1116/1.2393294
Integrated aerial image sensor: Design, modeling, and assembly
J. Vac. Sci. Technol. B 24, 3088–3093 (2006)
https://doi.org/10.1116/1.2393296
From nanoscale displacement sensing and estimation to nanoscale alignment
J. Vac. Sci. Technol. B 24, 3094–3100 (2006)
https://doi.org/10.1116/1.2375089
Study of overlay metrology in atomic force microscope lithography (overlaying lithography with atomic force microscope)
J. Vac. Sci. Technol. B 24, 3101–3104 (2006)
https://doi.org/10.1116/1.2375087
Impact of registration error of reticle on total overlay error budget
J. Vac. Sci. Technol. B 24, 3105–3109 (2006)
https://doi.org/10.1116/1.2395959
Pattern reconstruction of scanning electron microscope images using long-range content complexity analysis of the edge ridge signal
J. Vac. Sci. Technol. B 24, 3110–3114 (2006)
https://doi.org/10.1116/1.2363408
Modeling and Simulation
True three-dimensional proximity effect correction in electron-beam lithography
J. Vac. Sci. Technol. B 24, 3115–3120 (2006)
https://doi.org/10.1116/1.2388960
Modeling of linewidth measurement in scanning electron microscopes using advanced Monte Carlo software
J. Vac. Sci. Technol. B 24, 3121–3124 (2006)
https://doi.org/10.1116/1.2366701
Nanofabrication
Thin membrane self-alignment using nanomagnets for three-dimensional nanomanufacturing
J. Vac. Sci. Technol. B 24, 3128–3132 (2006)
https://doi.org/10.1116/1.2375084
Microelectrical noise detector for rapid, specific, and sensitive identification of bacteria
J. Vac. Sci. Technol. B 24, 3133–3138 (2006)
https://doi.org/10.1116/1.2395960
Robust shadow-mask evaporation via lithographically controlled undercut
J. Vac. Sci. Technol. B 24, 3139–3143 (2006)
https://doi.org/10.1116/1.2375090
Direct wiring of carbon nanotubes for integration in nanoelectromechanical systems
J. Vac. Sci. Technol. B 24, 3144–3147 (2006)
https://doi.org/10.1116/1.2388965
Charged Particle Deposition and Etching
Micromachined piezoresistive proximal probe with integrated bimorph actuator for aligned single ion implantation
A. Persaud; K. Ivanova; Y. Sarov; Tzv. Ivanov; B. E. Volland; I. W. Rangelow; N. Nikolov; T. Schenkel; V. Djakov; D. W. K. Jenkins; J. Meijer; T. Vogel
J. Vac. Sci. Technol. B 24, 3148–3151 (2006)
https://doi.org/10.1116/1.2375079
Characterization of hydrogen silsesquioxane as a inductively coupled plasma etch mask for air-clad InP-based quantum well waveguide fabrication
J. Vac. Sci. Technol. B 24, 3152–3156 (2006)
https://doi.org/10.1116/1.2395952
Enhancing etch resistance of hydrogen silsesquioxane via postdevelop electron curing
J. Vac. Sci. Technol. B 24, 3157–3161 (2006)
https://doi.org/10.1116/1.2395949
Etching of Pyrex glass substrates by inductively coupled plasma reactive ion etching for micro/nanofluidic devices
J. Vac. Sci. Technol. B 24, 3162–3164 (2006)
https://doi.org/10.1116/1.2388959
Electron beam induced deposition of low resistivity platinum from
John D. Barry; Matthew Ervin; Jay Molstad; Alma Wickenden; Todd Brintlinger; Patrik Hoffman; John Meingailis
J. Vac. Sci. Technol. B 24, 3165–3168 (2006)
https://doi.org/10.1116/1.2395962
Mechanical property evaluation of Au-coated nanospring fabricated by combination of focused-ion-beam chemical vapor deposition and sputter coating
Ken-ichiro Nakamatsu; Kazuhiro Kanda; Yuichi Haruyama; Toshinari Ichihashi; Takashi Kaito; Shinji Matsui
J. Vac. Sci. Technol. B 24, 3169–3172 (2006)
https://doi.org/10.1116/1.2397068
Directed Assembly
Precise positioning of single-walled carbon nanotubes by ac dielectrophoresis
J. Vac. Sci. Technol. B 24, 3173–3178 (2006)
https://doi.org/10.1116/1.2387155
Control of an electrospinning jet using electric focusing and jet-steering fields
J. Vac. Sci. Technol. B 24, 3179–3183 (2006)
https://doi.org/10.1116/1.2363403
Contactless capturing of particles in liquid using pulsed alternating dielectrophoresis
J. Vac. Sci. Technol. B 24, 3184–3187 (2006)
https://doi.org/10.1116/1.2366630
Highly porous silicon membrane fabrication using polymer self-assembly
C. T. Black; K. W. Guarini; G. Breyta; M. C. Colburn; R. Ruiz; R. L. Sandstrom; E. M. Sikorski; Y. Zhang
J. Vac. Sci. Technol. B 24, 3188–3191 (2006)
https://doi.org/10.1116/1.2366700
Nanoscale floating-gate characteristics of colloidal Au nanoparticles electrostatically assembled on Si nanowires
J. Vac. Sci. Technol. B 24, 3192–3195 (2006)
https://doi.org/10.1116/1.2375083
Gold nanoparticle wires made using RNA-based self-assembly
D. R. S. Cumming; A. D. Bates; B. P. Callen; J. M. Cooper; R. Cosstick; C. Geary; A. Glidle; L. Jaeger; J. L. Pearson; M. Proupín-Pérez; C. Xu
J. Vac. Sci. Technol. B 24, 3196–3199 (2006)
https://doi.org/10.1116/1.2363404
Extensions of molecular ruler technology for nanoscale patterning
C. Srinivasan; M. E. Anderson; E. M. Carter; J. N. Hohman; S. S. N. Bharadwaja; S. Trolier-McKinstry; P. S. Weiss; M. W. Horn
J. Vac. Sci. Technol. B 24, 3200–3204 (2006)
https://doi.org/10.1116/1.2393252
Ultrafast patterning of nanoparticles by electrostatic lithography
J. Vac. Sci. Technol. B 24, 3205–3208 (2006)
https://doi.org/10.1116/1.2363407
Nanoelectronic and Nanomagnetic Devices
Approach to variable frequency measurements of carbon nanotube transistors
J. Vac. Sci. Technol. B 24, 3209–3212 (2006)
https://doi.org/10.1116/1.2397070
-wide nanogaps fabricated with single-walled carbon nanotube shadow masks
J. Vac. Sci. Technol. B 24, 3213–3216 (2006)
https://doi.org/10.1116/1.2375081
Fabrication and characterization of novel cross point structures for molecular electronic integrated circuits
J. Vac. Sci. Technol. B 24, 3217–3220 (2006)
https://doi.org/10.1116/1.2366618
Threshold voltage adjustment on spherical, single-crystal silicon substrates by focused ion beam implantation
J. Vac. Sci. Technol. B 24, 3221–3226 (2006)
https://doi.org/10.1116/1.2387152
Chemically responsive molecular transistors fabricated by self-aligned lithography and chemical self-assembly
J. Vac. Sci. Technol. B 24, 3227–3229 (2006)
https://doi.org/10.1116/1.2357968
Super-self-aligned back-gate∕double-gate planar transistors: Novel fabrication approach
J. Vac. Sci. Technol. B 24, 3230–3233 (2006)
https://doi.org/10.1116/1.2397067
Directly patterning ferroelectric films by nanoimprint lithography with low temperature and low pressure
J. Vac. Sci. Technol. B 24, 3234–3238 (2006)
https://doi.org/10.1116/1.2395958
MEMS, NEMS, and Nanophotonics
Characterization and operation of a mechanically actuated silicon microgripper
Marius M. Blideran; Monika Fleischer; Wolfgang Henschel; Dieter P. Kern; Jochen Sterr; Klaus Schock; Stephan Kleindiek; Matthias G. Langer; Karsten Löffler; Francois Grauvogel
J. Vac. Sci. Technol. B 24, 3239–3243 (2006)
https://doi.org/10.1116/1.2357961
Accurate resonant frequency spacing of microring filters without postfabrication trimming
C. W. Holzwarth; T. Barwicz; M. A. Popović; P. T. Rakich; E. P. Ippen; F. X. Kärtner; Henry I. Smith
J. Vac. Sci. Technol. B 24, 3244–3247 (2006)
https://doi.org/10.1116/1.2363402
Reproduction of the Morpho blue by nanocasting lithography
Akira Saito; Yusuke Miyamura; Masaki Nakajima; Yoko Ishikawa; Kenji Sogo; Yuji Kuwahara; Yoshihiko Hirai
J. Vac. Sci. Technol. B 24, 3248–3251 (2006)
https://doi.org/10.1116/1.2395950
Wafer-scale fabrication of polymer distributed feedback lasers
J. Vac. Sci. Technol. B 24, 3252–3257 (2006)
https://doi.org/10.1116/1.2387154
Nanobiology and Nanomedicine
Progress towards tubes with regular nanopatterned inner surfaces
J. Vac. Sci. Technol. B 24, 3258–3262 (2006)
https://doi.org/10.1116/1.2357970
Letters
Acid generation efficiency in a model system of chemically amplified extreme ultraviolet resist
J. Vac. Sci. Technol. B 24, L27–L30 (2006)
https://doi.org/10.1116/1.2393297
Future of plasma etching for microelectronics: Challenges and opportunities
Gottlieb S. Oehrlein, Stephan M. Brandstadter, et al.
Heating of photocathode via field emission and radiofrequency pulsed heating: Implication toward breakdown
Ryo Shinohara, Soumendu Bagchi, et al.
Novel low-temperature and high-flux hydrogen plasma source for extreme-ultraviolet lithography applications
A. S. Stodolna, T. W. Mechielsen, et al.