Skip Nav Destination
Issues
November 2005
This content was originally published in
Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena
ISSN 1071-1023
EISSN 1520-8567
In this Issue
Regular Articles
Thermal-induced formation of silver nanowires on titanium dioxide thin films
J. Vac. Sci. Technol. B 23, 2261–2265 (2005)
https://doi.org/10.1116/1.2083927
Co-gas impact of plasma diluted with helium on the plasma doping process in a pulsed glow-discharge system
J. Vac. Sci. Technol. B 23, 2272–2277 (2005)
https://doi.org/10.1116/1.2083929
Self-assembled tungsten nanocrystals in high- dielectric for nonvolatile memory application
S. K. Samanta; Zerlinda Y. L. Tan; Won Jong Yoo; Ganesh Samudra; Sungjoo Lee; L. K. Bera; N. Balasubramanian
J. Vac. Sci. Technol. B 23, 2278–2283 (2005)
https://doi.org/10.1116/1.2083930
Enhancement of electroluminescence in GaN-based light-emitting diodes using an efficient current blocking layer
J. Vac. Sci. Technol. B 23, 2284–2287 (2005)
https://doi.org/10.1116/1.2083931
Effects of focused gallium ion-beam implantation on properties of nanochannels on silicon-on-insulator substrates
J. Vac. Sci. Technol. B 23, 2288–2291 (2005)
https://doi.org/10.1116/1.2101599
Selective growth of vertical ZnO nanowires on templates
Cheng-Liang Hsu; Shoou-Jinn Chang; Hui-Chuan Hung; Yan-Ru Lin; Tsung-Heng Lu; Yung-Kuan Tseng; I-Cherng Chen
J. Vac. Sci. Technol. B 23, 2292–2296 (2005)
https://doi.org/10.1116/1.2101600
Tip characterization and surface reconstruction of complex structures with critical dimension atomic force microscopy
J. Vac. Sci. Technol. B 23, 2297–2303 (2005)
https://doi.org/10.1116/1.2101601
Effect of a thin W interlayer on the thermal stability and electrical characteristics of NiSi film
J. Vac. Sci. Technol. B 23, 2304–2308 (2005)
https://doi.org/10.1116/1.2101647
Spatially selective immobilization of ferritin using solid–solution interfacial interactions
J. Vac. Sci. Technol. B 23, 2309–2313 (2005)
https://doi.org/10.1116/1.2101677
Study of the buffer-layer and annealing-temperature impact on fabrication of polycrystalline film for the application of spintronic devices
J. Vac. Sci. Technol. B 23, 2314–2318 (2005)
https://doi.org/10.1116/1.2101635
Maximum achievable aspect ratio in deep reactive ion etching of silicon due to aspect ratio dependent transport and the microloading effect
J. Vac. Sci. Technol. B 23, 2319–2329 (2005)
https://doi.org/10.1116/1.2101678
Ohmic contact formation mechanism of and metallizations on HEMTs
J. Vac. Sci. Technol. B 23, 2330–2335 (2005)
https://doi.org/10.1116/1.2101691
Operation of nanocrystalline silicon ballistic emitter in low vacuum and atmospheric pressures
J. Vac. Sci. Technol. B 23, 2336–2339 (2005)
https://doi.org/10.1116/1.2102928
Pattern-dependent microloading and step coverage of silicon nitride thin films deposited in a single-wafer thermal chemical vapor deposition chamber
J. Vac. Sci. Technol. B 23, 2340–2346 (2005)
https://doi.org/10.1116/1.2102947
Physical characterization of ZnO nanorods grown on Si from aqueous solution and annealed at various atmospheres
J. Vac. Sci. Technol. B 23, 2347–2350 (2005)
https://doi.org/10.1116/1.2102967
Observations of interfaces in direct wafer-bonded InP–GaAs structures
J. Vac. Sci. Technol. B 23, 2351–2356 (2005)
https://doi.org/10.1116/1.2102968
Passivation effects on the stability of pentacene thin-film transistors with prepared by ion-beam-assisted deposition
J. Vac. Sci. Technol. B 23, 2357–2362 (2005)
https://doi.org/10.1116/1.2102969
Field emission of carbon nanotubes grown on carbon cloth
J. Vac. Sci. Technol. B 23, 2363–2368 (2005)
https://doi.org/10.1116/1.2102970
Effects of bonding materials in screen-printing paste on the field-emission properties of carbon nanotube cathodes
J. Vac. Sci. Technol. B 23, 2369–2372 (2005)
https://doi.org/10.1116/1.2110342
Characterization of bulk GaN rectifiers for hydrogen gas sensing
J. Vac. Sci. Technol. B 23, 2373–2377 (2005)
https://doi.org/10.1116/1.2110343
Iridium/silicon capping layer for soft x-ray and extreme ultraviolet mirrors
J. Vac. Sci. Technol. B 23, 2378–2383 (2005)
https://doi.org/10.1116/1.2122727
Temperature characteristics of stress-induced migration based on atom migration
J. Vac. Sci. Technol. B 23, 2384–2389 (2005)
https://doi.org/10.1116/1.2123447
Magnetoresistance of patterned NiFe thin films with structures modified by atomic force microscope nanolithography
J. Vac. Sci. Technol. B 23, 2390–2393 (2005)
https://doi.org/10.1116/1.2122767
Fabrication and characterization of CuO nanorods by a submerged arc nanoparticle synthesis system
J. Vac. Sci. Technol. B 23, 2394–2397 (2005)
https://doi.org/10.1116/1.2122787
Preparation and characterization of titania nanotubes and hybrid materials derived from them
J. Vac. Sci. Technol. B 23, 2398–2402 (2005)
https://doi.org/10.1116/1.2122827
Nanoscale electron stimulated chemical vapor deposition of Au in an environmental transmission electron microscope
J. Vac. Sci. Technol. B 23, 2403–2408 (2005)
https://doi.org/10.1116/1.2122847
Bubbles in immersion lithography
J. Vac. Sci. Technol. B 23, 2409–2412 (2005)
https://doi.org/10.1116/1.2122747
Photosensitive quantum dot composites and their applications in optical structures
J. Vac. Sci. Technol. B 23, 2413–2418 (2005)
https://doi.org/10.1116/1.2122867
Modeling of protection schemes for critical surfaces under low pressure conditions: Comparison between analytical and numerical approach
J. Vac. Sci. Technol. B 23, 2419–2426 (2005)
https://doi.org/10.1116/1.2122927
Numeric analysis of the role of liquid phase ultraviolet photochemistry in immersion lithography
J. Vac. Sci. Technol. B 23, 2427–2435 (2005)
https://doi.org/10.1116/1.2126671
Barrier height enhancement and stability of the Schottky barrier diodes oxidized by absorbed water vapor
J. Vac. Sci. Technol. B 23, 2436–2443 (2005)
https://doi.org/10.1116/1.2126675
Formation of Ti–Si–N film using low frequency, high density inductively coupled plasma process
Y. C. Ee; Z. Chen; L. Chan; K. H. See; S. B. Law; S. Xu; Z. L. Tsakadze; P. P. Rutkevych; K. Y. Zeng; L. Shen
J. Vac. Sci. Technol. B 23, 2444–2448 (2005)
https://doi.org/10.1116/1.2131080
High-efficiency light-emitting device based on silicon nanostructures and tunneling carrier injection
J. Vac. Sci. Technol. B 23, 2449–2456 (2005)
https://doi.org/10.1116/1.2131082
Nanoscale fabrication in aqueous KOH solution using tribo-nanolithography
Noritaka Kawasegi; Jeong Woo Park; Noboru Morita; Shigeru Yamada; Noboru Takano; Tatsuo Oyama; Kiwamu Ashida
J. Vac. Sci. Technol. B 23, 2471–2475 (2005)
https://doi.org/10.1116/1.2126674
Wing-tilt-free gallium nitride laterally grown on maskless chemical-etched sapphire-patterned substrate
J. Vac. Sci. Technol. B 23, 2476–2479 (2005)
https://doi.org/10.1116/1.2122807
Lanthanum aluminate by atomic layer deposition and molecular beam epitaxy
J. Vac. Sci. Technol. B 23, 2480–2485 (2005)
https://doi.org/10.1116/1.2131077
Structural, electrical, and mechanical properties of nanocomposite thin films
P. Eklund; J. Emmerlich; H. Högberg; O. Wilhelmsson; P. Isberg; J. Birch; P. O. Å. Persson; U. Jansson; L. Hultman
J. Vac. Sci. Technol. B 23, 2486–2495 (2005)
https://doi.org/10.1116/1.2131081
Ultra small self-organized nitride nanotips
J. Vac. Sci. Technol. B 23, 2496–2498 (2005)
https://doi.org/10.1116/1.2131083
Reactive-ion etching of high- and submicron-diameter micropillar cavities
S. Varoutsis; S. Laurent; I. Sagnes; A. Lemaître; L. Ferlazzo; C. Mériadec; G. Patriarche; I. Robert-Philip; I. Abram
J. Vac. Sci. Technol. B 23, 2499–2503 (2005)
https://doi.org/10.1116/1.2131084
Design and simulation of ZnO-based light-emitting diode structures
Sang Youn Han; Hyucksoo Yang; D. P. Norton; S. J. Pearton; F. Ren; A. Osinsky; J. W. Dong; B. Hertog; P. P. Chow
J. Vac. Sci. Technol. B 23, 2504–2509 (2005)
https://doi.org/10.1116/1.2131869
Electrical transport of tetragonal boron nanobelts
K. Kirihara; Z. Wang; K. Kawaguchi; Y. Shimizu; T. Sasaki; N. Koshizaki; H. Hyodo; K. Soga; K. Kimura
J. Vac. Sci. Technol. B 23, 2510–2513 (2005)
https://doi.org/10.1116/1.2131870
Effects of doping type and concentration on precipitation of nanometer arsenic clusters in low-temperature-grown GaAs
J. Vac. Sci. Technol. B 23, 2514–2517 (2005)
https://doi.org/10.1116/1.2131872
Acidic acrylic polymers for nanoimprint lithography on flexible substrates
J. Vac. Sci. Technol. B 23, 2518–2521 (2005)
https://doi.org/10.1116/1.2131874
Ultrathin pore-seal film by plasma enhanced chemical vapor deposition SiCH from tetramethylsilane
Akira Furuya; Katsumi Yoneda; Eiichi Soda; Toru Yoshie; Hiroshi Okamura; Miyoko Shimada; Nobuyuki Ohtsuka; Shinichi Ogawa
J. Vac. Sci. Technol. B 23, 2522–2525 (2005)
https://doi.org/10.1116/1.2132324
Pulse oscillation of self-organized quantum wire lasers grown on (775)B InP substrates by molecular beam epitaxy
J. Vac. Sci. Technol. B 23, 2526–2529 (2005)
https://doi.org/10.1116/1.2126670
Interfacial reactions in nickel/titanium ohmic contacts to -type silicon carbide
J. Vac. Sci. Technol. B 23, 2530–2537 (2005)
https://doi.org/10.1116/1.2126677
Investigation of surface treatment schemes on n-type GaN and
J. Vac. Sci. Technol. B 23, 2538–2544 (2005)
https://doi.org/10.1116/1.2131078
Thickness and density evaluation for nanostructured thin films by glancing angle deposition
Cristina Buzea; Kate Kaminska; Gisia Beydaghyan; Tim Brown; Chelsea Elliott; Cory Dean; Kevin Robbie
J. Vac. Sci. Technol. B 23, 2545–2552 (2005)
https://doi.org/10.1116/1.2131079
Effects of etch barrier densification on step and flash imprint lithography
S. Johnson; R. Burns; E. K. Kim; M. Dickey; G. Schmid; J. Meiring; S. Burns; C. G. Willson; D. Convey; Y. Wei; P. Fejes; K. Gehoski; D. Mancini; K. Nordquist; W. J. Dauksher; D. J. Resnick
J. Vac. Sci. Technol. B 23, 2553–2556 (2005)
https://doi.org/10.1116/1.2102971
Brief Reports and Comments
Material and electrical analysis of hafnium titania bilayer dielectric metal-oxide-semiconductor field-effect transistors
J. Vac. Sci. Technol. B 23, 2561–2563 (2005)
https://doi.org/10.1116/1.2126676
Shop Notes
Electrochemical fabrication of cobalt and nickel tips for scanning tunneling microscopy
Cristiano Albonetti; Massimiliano Cavallini; Massimiliano Massi; Jean François Moulin; Fabio Biscarini
J. Vac. Sci. Technol. B 23, 2564–2566 (2005)
https://doi.org/10.1116/1.2131873
Papers from the 49th International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication
Plenary Talks
Modeling and simulation for nanometrics
J. Vac. Sci. Technol. B 23, 2578–2583 (2005)
https://doi.org/10.1116/1.2062447
History of extreme ultraviolet lithography
J. Vac. Sci. Technol. B 23, 2584–2588 (2005)
https://doi.org/10.1116/1.2127950
Modeling and Simulation
Electron-electron interaction induced beam displacement in a multiple electron beam system
J. Vac. Sci. Technol. B 23, 2589–2595 (2005)
https://doi.org/10.1116/1.2101788
Full wafer simulation of immersion fluid heating
J. Vac. Sci. Technol. B 23, 2596–2600 (2005)
https://doi.org/10.1116/1.2091091
Charting the future (and remembering the past) of optical lithography simulation
J. Vac. Sci. Technol. B 23, 2601–2606 (2005)
https://doi.org/10.1116/1.2130354
Optical Lithography
Dynamic alignment control for fluid-immersion lithographies using interferometric-spatial-phase imaging
J. Vac. Sci. Technol. B 23, 2607–2610 (2005)
https://doi.org/10.1116/1.2101725
Control of the receding meniscus in immersion lithography
J. Vac. Sci. Technol. B 23, 2611–2616 (2005)
https://doi.org/10.1116/1.2090968
Direct patterning of spin-on glass with lithography: Application to nanoscale crystal growth
T. M. Bloomstein; P. W. Juodawlkis; R. B. Swint; S. G. Cann; S. J. Deneault; N. N. Efremow, Jr.; D. E. Hardy; M. F. Marchant; A. Napoleone; D. C. Oakley; M. Rothschild
J. Vac. Sci. Technol. B 23, 2617–2623 (2005)
https://doi.org/10.1116/1.2101692
Hybrid exposure strategy: Combining e-beam direct writing with optical lithography for magnetic recording heads
J. Vac. Sci. Technol. B 23, 2624–2630 (2005)
https://doi.org/10.1116/1.2110340
Use of optical defocus components to investigate and improve pattern spatial frequency characteristics for more robust layouts
J. Vac. Sci. Technol. B 23, 2631–2635 (2005)
https://doi.org/10.1116/1.2062687
Deep subwavelength nanolithography using localized surface plasmon modes on planar silver mask
J. Vac. Sci. Technol. B 23, 2636–2639 (2005)
https://doi.org/10.1116/1.2091088
Doppler writing and linewidth control for scanning beam interference lithography
J. Vac. Sci. Technol. B 23, 2640–2645 (2005)
https://doi.org/10.1116/1.2127938
Screening layouts for high-numerical aperture and polarization effects using pattern matching
Gregory R. McIntyre; Juliet Holwill; Andrew Neureuther; Luigi Capodieci; Yi Zou; Harry Levinson; Jongwook Kye
J. Vac. Sci. Technol. B 23, 2646–2652 (2005)
https://doi.org/10.1116/1.2132333
Understanding the impact of source displacement error on sub- patterns using a fresnel zone plate
J. Vac. Sci. Technol. B 23, 2653–2656 (2005)
https://doi.org/10.1116/1.2121733
Immersion zone-plate-array lithography
J. Vac. Sci. Technol. B 23, 2657–2661 (2005)
https://doi.org/10.1116/1.2127942
Pumped quantum systems: Immersion fluids of the future?
J. Vac. Sci. Technol. B 23, 2662–2667 (2005)
https://doi.org/10.1116/1.2121732
Hyper high numerical aperature achromatic interferometer for immersion lithography at 193 nm
J. Vac. Sci. Technol. B 23, 2668–2674 (2005)
https://doi.org/10.1116/1.2135295
Full field analysis of lithography performance for ArF immersion lithography
J. Vac. Sci. Technol. B 23, 2679–2683 (2005)
https://doi.org/10.1116/1.2101790
Simulation of air bubble scattering effects in immersion interferometric lithography
J. Vac. Sci. Technol. B 23, 2684–2693 (2005)
https://doi.org/10.1116/1.2134716
Large-area, infrared nanophotonic materials fabricated using interferometric lithography
J. Vac. Sci. Technol. B 23, 2700–2704 (2005)
https://doi.org/10.1116/1.2132334
Achromatic spatial frequency multiplication: A method for production of nanometer-scale periodic structures
J. Vac. Sci. Technol. B 23, 2705–2710 (2005)
https://doi.org/10.1116/1.2121735
Resist Science and Technology
Spectral analysis of line-edge roughness in polyphenol EB-resists and its impact on transistor performance
Atsuko Yamaguchi; Hiroshi Fukuda; Tadashi Arai; Jiro Yamamoto; Taku Hirayama; Daiju Shiono; Hideo Hada; Junichi Onodera
J. Vac. Sci. Technol. B 23, 2711–2715 (2005)
https://doi.org/10.1116/1.2110318
Proton and anion distribution and line edge roughness of chemically amplified electron beam resist
J. Vac. Sci. Technol. B 23, 2716–2720 (2005)
https://doi.org/10.1116/1.2131875
Static and dynamic contact angles of water on photoresist
J. Vac. Sci. Technol. B 23, 2721–2727 (2005)
https://doi.org/10.1116/1.2131878
Study on acid generation from polymer
Hiroki Yamamoto; Takahiro Kozawa; Atsuro Nakano; Kazumasa Okamoto; Seiichi Tagawa; Tomoyuki Ando; Mitsuru Sato; Hiroji Komano
J. Vac. Sci. Technol. B 23, 2728–2732 (2005)
https://doi.org/10.1116/1.2121730
Full three-dimensional characterization of lines for chemically amplified resist simulation
J. Vac. Sci. Technol. B 23, 2733–2737 (2005)
https://doi.org/10.1116/1.2132327
Maskless Lithography
Effects of through-focus symmetry in maskless lithography using micromirror arrays
J. Vac. Sci. Technol. B 23, 2738–2742 (2005)
https://doi.org/10.1116/1.2062407
Hybrid optical maskless lithography: Scaling beyond the node
M. Fritze; T. M. Bloomstein; B. Tyrrell; T. H. Fedynyshyn; N. N. Efremow, Jr.; D. E. Hardy; S. Cann; D. Lennon; S. Spector; M. Rothschild; P. Brooker
J. Vac. Sci. Technol. B 23, 2743–2748 (2005)
https://doi.org/10.1116/1.2062327
Electron Beam Lithography and Imaging
Dynamic chromatic aberration correction in low energy electron microscopes
J. Vac. Sci. Technol. B 23, 2749–2753 (2005)
https://doi.org/10.1116/1.2062432
Performances by the electron optical system of low energy electron beam proximity projection lithography tool with a large scanning field
J. Vac. Sci. Technol. B 23, 2754–2757 (2005)
https://doi.org/10.1116/1.2062435
Narrow cone emission from negative electron affinity photocathodes
J. Vac. Sci. Technol. B 23, 2758–2762 (2005)
https://doi.org/10.1116/1.2101726
Negative charging-up contrast formation of multilayered structures with a nonpenetrating electron beam in scanning-electron microscope
J. Vac. Sci. Technol. B 23, 2763–2768 (2005)
https://doi.org/10.1116/1.2101757
Experimental optimization of the electron-beam proximity effect forward scattering parameter
J. Vac. Sci. Technol. B 23, 2769–2774 (2005)
https://doi.org/10.1116/1.2062431
Dependence of linewidth and its edge roughness on electron beam exposure dose
J. Vac. Sci. Technol. B 23, 2775–2779 (2005)
https://doi.org/10.1116/1.2130357
Electron-beam direct writing system employing character projection exposure with production dispatching rule
J. Vac. Sci. Technol. B 23, 2780–2783 (2005)
https://doi.org/10.1116/1.2135793
lithographic processing for nanopatterned gas sensors
P. Candeloro; E. Comini; C. Baratto; G. Faglia; G. Sberveglieri; R. Kumar; A. Carpentiero; E. Di Fabrizio
J. Vac. Sci. Technol. B 23, 2784–2788 (2005)
https://doi.org/10.1116/1.2110371
Visualization and in situ contacting of carbon nanotubes in a scanning electron microscope
J. Vac. Sci. Technol. B 23, 2789–2792 (2005)
https://doi.org/10.1116/1.2130350
Towards nano-fluidics by solvent deformation of electron beam resist
J. Vac. Sci. Technol. B 23, 2793–2797 (2005)
https://doi.org/10.1116/1.2131876
Particle Beam Technologies
Ion implantation with scanning probe alignment
J. Vac. Sci. Technol. B 23, 2798–2800 (2005)
https://doi.org/10.1116/1.2062628
Mechanical characteristics and its annealing effect of diamondlike-carbon nanosprings fabricated by focused-ion-beam chemical vapor deposition
J. Vac. Sci. Technol. B 23, 2801–2805 (2005)
https://doi.org/10.1116/1.2132329
Cross beam lithography and dip pen nanolithography for nanoparticle conductivity measurements
Stefano Cabrini; Robert J. Barsotti; Alessandro Carpentiero; Luca Businaro; Remo Proietti Zaccaria; Francesco Stellacci; Enzo Di Fabrizio
J. Vac. Sci. Technol. B 23, 2806–2810 (2005)
https://doi.org/10.1116/1.2062647
Writing the identity in radio frequency identity tags with focused ion-beam implantation of transistor gates
J. Vac. Sci. Technol. B 23, 2811–2815 (2005)
https://doi.org/10.1116/1.2091092
Electrical properties of nanocontacts on silicon nanoparticles embedded in thin synthesized by ultralow energy ion implantation
J. Vac. Sci. Technol. B 23, 2821–2824 (2005)
https://doi.org/10.1116/1.2132335
Growth and simulation of high-aspect ratio nanopillars by primary and secondary electron-induced deposition
J. Vac. Sci. Technol. B 23, 2825–2832 (2005)
https://doi.org/10.1116/1.2101732
Development of a multi-electron-beam source for sub- electron beam induced deposition
J. Vac. Sci. Technol. B 23, 2833–2839 (2005)
https://doi.org/10.1116/1.2091087
EUV Lithography
Characterization of the synchrotron-based 0.3 numerical aperture extreme ultraviolet microexposure tool at the Advanced Light Source
Patrick Naulleau; Jason P. Cain; Erik Anderson; Kim Dean; Paul Denham; Kenneth A. Goldberg; Brian Hoef; Keith Jackson
J. Vac. Sci. Technol. B 23, 2840–2843 (2005)
https://doi.org/10.1116/1.2127940
Measuring line roughness through aerial image contrast variation using coherent extreme ultraviolet spatial filtering techniques
J. Vac. Sci. Technol. B 23, 2844–2847 (2005)
https://doi.org/10.1116/1.2134717
Scaling law in acceleration test of extreme ultraviolet lithography projection optics mirror contamination
Yoshio Gomei; Hiromitsu Takase; Takashi Aoki; Shuichi Matsunari; Shigeru Terashima; Yukinobu Kakutani; Masahito Niibe
J. Vac. Sci. Technol. B 23, 2848–2851 (2005)
https://doi.org/10.1116/1.2074847
Mask defect inspection using an extreme ultraviolet microscope
K. Hamamoto; Y. Tanaka; S. Y. Lee; N. Hosokawa; N. Sakaya; M. Hosoya; T. Shoki; T. Watanabe; H. Kinoshita
J. Vac. Sci. Technol. B 23, 2852–2855 (2005)
https://doi.org/10.1116/1.2127943
Predicting the dynamic response of an extreme ultraviolet reticle during exposure scanning
J. Vac. Sci. Technol. B 23, 2856–2859 (2005)
https://doi.org/10.1116/1.2101765
Printability of nonsmoothed buried defects in extreme ultraviolet lithography mask blanks
Vincent Farys; Christelle Charpin-Nicolle; Marieke Richard; Jean-Yves Robic; Viviane Muffato; Etienne Quesnel; Sergeï Postnikov; Patrick Schiavone; Maxime Besacier; Rafik Smaali; Patrick Naulleau
J. Vac. Sci. Technol. B 23, 2860–2865 (2005)
https://doi.org/10.1116/1.2135293
Investigation of multilayer structural changes in phase and amplitude-defects correction process
Seung Yoon Lee; Tae Geun Kim; Jae Gun Park; Joo Kyoung Song; Oh Hyun Kim; Chul-Woong Yong; Jinho Ahn
J. Vac. Sci. Technol. B 23, 2866–2869 (2005)
https://doi.org/10.1116/1.2134715
Asymmetry of aerial image after mask pattern correction for off-axis incident light in extreme ultraviolet lithography
J. Vac. Sci. Technol. B 23, 2870–2874 (2005)
https://doi.org/10.1116/1.2135294
Imaging characteristics and specification of mask mean-to-target and mask uniformity according to polarization status
J. Vac. Sci. Technol. B 23, 2875–2878 (2005)
https://doi.org/10.1116/1.2110307
Ion emission measurements and mirror erosion studies for extreme ultraviolet lithography
J. Vac. Sci. Technol. B 23, 2879–2884 (2005)
https://doi.org/10.1116/1.2131879
Extreme ultraviolet focus sensor design optimization
J. Vac. Sci. Technol. B 23, 2885–2890 (2005)
https://doi.org/10.1116/1.2134719
Electrical characterization of multilayer masks for extreme ultraviolet lithography
J. Vac. Sci. Technol. B 23, 2891–2895 (2005)
https://doi.org/10.1116/1.2062607
X-ray Lithography
Development, installation, and performance of the x-ray stepper JSAL 5C
Q. Leonard; D. Malueg; J. Wallace; J. W. Taylor; S. Dhuey; F. Cerrina; B. Boerger; R. Selzer; M. Yu; Y. Ma; K. Myers; M. Trybendis; E. Moon; H. I. Smith
J. Vac. Sci. Technol. B 23, 2896–2902 (2005)
https://doi.org/10.1116/1.2121711
Nanoimprint Techniques
4 inch lift-off process by trilayer nanoimprint lithography
J. Vac. Sci. Technol. B 23, 2914–2919 (2005)
https://doi.org/10.1116/1.2130351
Fabrication of three-dimensional stamps for embossing techniques by lithographically controlled isotropic wet etching
Massimo Tormen; Alessandro Carpentiero; Lisa Vaccari; Matteo Altissimo; Enrico Ferrari; Dan Cojoc; Enzo Di Fabrizio
J. Vac. Sci. Technol. B 23, 2920–2924 (2005)
https://doi.org/10.1116/1.2130348
Ultraviolet-based nanoimprint at reduced environmental pressure
J. Vac. Sci. Technol. B 23, 2925–2928 (2005)
https://doi.org/10.1116/1.2132325
Enhanced molecular patterning via microdisplacement printing
J. Vac. Sci. Technol. B 23, 2929–2932 (2005)
https://doi.org/10.1116/1.2135794
Defect control in nanoimprint lithography
J. Vac. Sci. Technol. B 23, 2933–2938 (2005)
https://doi.org/10.1116/1.2130352
Fabrication of wave plate by nanocasting lithography
J. Vac. Sci. Technol. B 23, 2939–2943 (2005)
https://doi.org/10.1116/1.2127953
Topas-based lab-on-a-chip microsystems fabricated by thermal nanoimprint lithography
B. Bilenberg; M. Hansen; D. Johansen; V. Özkapici; C. Jeppesen; P. Szabo; I. M. Obieta; O. Arroyo; J. O. Tegenfeldt; A. Kristensen
J. Vac. Sci. Technol. B 23, 2944–2949 (2005)
https://doi.org/10.1116/1.2091089
High performance -in-diameter true zero-order waveplates fabricated by imprint lithography
Jian Jim Wang; Xuegong Deng; Feng Liu; Lei Chen; Anguel Nikolov; Xiaoming Liu; Jiandong Deng; Paul Sciortino
J. Vac. Sci. Technol. B 23, 2950–2953 (2005)
https://doi.org/10.1116/1.2062430
Three-dimensional polymer structures fabricated by reversal ultraviolet-curing imprint lithography
J. Vac. Sci. Technol. B 23, 2954–2957 (2005)
https://doi.org/10.1116/1.2130349
Simulations of nonuniform embossing: The effect of asymmetric neighbor cavities on polymer flow during nanoimprint lithography
J. Vac. Sci. Technol. B 23, 2958–2962 (2005)
https://doi.org/10.1116/1.2127939
Polymer time constants during low temperature nanoimprint lithography
J. Vac. Sci. Technol. B 23, 2963–2966 (2005)
https://doi.org/10.1116/1.2121727
Vinyl ether formulations for step and flash imprint lithography
J. Vac. Sci. Technol. B 23, 2967–2971 (2005)
https://doi.org/10.1116/1.2131881
Nanostructures for Biology
Fabrication and surface chemistry of nanoscale bioarrays designed for the study of cytoskeletal protein binding interactions and their effect on cell motility
O. Cherniavskaya; C. J. Chen; E. Heller; E. Sun; J. Provezano; L. Kam; J. Hone; M. P. Sheetz; S. J. Wind
J. Vac. Sci. Technol. B 23, 2972–2978 (2005)
https://doi.org/10.1116/1.2132332
Arrays of high- high stability ultrahigh-frequency resonators for chemical/biological sensors
J. Vac. Sci. Technol. B 23, 2979–2983 (2005)
https://doi.org/10.1116/1.2121731
Effects of nanoimprinted patterns in tissue-culture polystyrene on cell behavior
J. Vac. Sci. Technol. B 23, 2984–2989 (2005)
https://doi.org/10.1116/1.2121729
Three-dimensional digital scanner based on micromachined micromirror for the metrological measurement of the human ear canal
M. Prasciolu; R. Malureanu; S. Cabrini; D. Cojoc; L. Businaro; A. Carpentiero; R. Kumar; E. Di Fabrizio
J. Vac. Sci. Technol. B 23, 2990–2994 (2005)
https://doi.org/10.1116/1.2121734
Sealed three-dimensional nanochannels
J. Vac. Sci. Technol. B 23, 2995–2999 (2005)
https://doi.org/10.1116/1.2121728
Self-aligned platinum-silicide nanowires for biomolecule sensing
J. Vac. Sci. Technol. B 23, 3000–3005 (2005)
https://doi.org/10.1116/1.2090967
Resonant grating filters as refractive index sensors for chemical and biological detections
J. Vac. Sci. Technol. B 23, 3006–3010 (2005)
https://doi.org/10.1116/1.2101774
Metrology, Alignment, and CD Control
Advanced electron microscopy needs for nanotechnology and nanomanufacturing
J. Vac. Sci. Technol. B 23, 3015–3022 (2005)
https://doi.org/10.1116/1.2135795
Effect of initial resist thickness on residual layer thickness of nanoimprinted structures
Hae-Jeong Lee; Hyun Wook Ro; Christopher L. Soles; Ronald L. Jones; Eric K. Lin; Wen-li Wu; D. R. Hines
J. Vac. Sci. Technol. B 23, 3023–3027 (2005)
https://doi.org/10.1116/1.2101776
Traceable calibration of critical-dimension atomic force microscope linewidth measurements with nanometer uncertainty
J. Vac. Sci. Technol. B 23, 3028–3032 (2005)
https://doi.org/10.1116/1.2130347
Local critical dimension variation from shot-noise related line edge roughness
J. Vac. Sci. Technol. B 23, 3033–3036 (2005)
https://doi.org/10.1116/1.2127941
Subpixel alignment for scanning-beam lithography using one-dimensional, phase-based mark detection
J. Vac. Sci. Technol. B 23, 3037–3042 (2005)
https://doi.org/10.1116/1.2062547
Investigation of overlay errors due to the interaction of optical and extreme ultraviolet mask fabrication processes
J. Vac. Sci. Technol. B 23, 3043–3046 (2005)
https://doi.org/10.1116/1.2127949
Overlay alignment using optical microscopy and arbitrary surface features
J. Vac. Sci. Technol. B 23, 3047–3051 (2005)
https://doi.org/10.1116/1.2062667
Technique for estimating the angle of incidence and depth of focus of an electron beam
J. Vac. Sci. Technol. B 23, 3052–3055 (2005)
https://doi.org/10.1116/1.2127947
Portable coordinate measuring tool
J. Vac. Sci. Technol. B 23, 3056–3060 (2005)
https://doi.org/10.1116/1.2134718
Fabrication of high-secondary-electron-yield grids for spatial-phase-locked electron-beam lithography
J. Vac. Sci. Technol. B 23, 3061–3064 (2005)
https://doi.org/10.1116/1.2110341
Measurement of residual thickness using scatterometry
J. Vac. Sci. Technol. B 23, 3069–3074 (2005)
https://doi.org/10.1116/1.2130345
Line edge roughness characterization with a three-dimensional atomic force microscope: Transfer during gate patterning processes
J. Vac. Sci. Technol. B 23, 3075–3079 (2005)
https://doi.org/10.1116/1.2101789
Reconstruction of pattern images from scanning electron microscope images
J. Vac. Sci. Technol. B 23, 3080–3084 (2005)
https://doi.org/10.1116/1.2127944
Self inspection of integrated circuits pattern defects using support vector machines
J. Vac. Sci. Technol. B 23, 3085–3089 (2005)
https://doi.org/10.1116/1.2062434
Advanced atomic force microscopy probes: Wear resistant designs
J. Vac. Sci. Technol. B 23, 3090–3093 (2005)
https://doi.org/10.1116/1.2127936
Improving critical dimension accuracy and throughput by subfield scheduling in electron beam mask writing
J. Vac. Sci. Technol. B 23, 3094–3100 (2005)
https://doi.org/10.1116/1.2132330
Mask Making Technology
Advanced photolithographic mask repair using electron beams
J. Vac. Sci. Technol. B 23, 3101–3105 (2005)
https://doi.org/10.1116/1.2062428
Etching and Deposition for the Nanometer Era
Innovative approach to nanoscale device fabrication and low-temperature nitride film growth
J. Vac. Sci. Technol. B 23, 3116–3119 (2005)
https://doi.org/10.1116/1.2130346
Electron beam lithography patterning of sub- line using hydrogen silsesquioxane for nanoscale device applications
J. Vac. Sci. Technol. B 23, 3120–3123 (2005)
https://doi.org/10.1116/1.2132328
Direct-write e-beam patterning of stimuli-responsive hydrogel nanostructures
J. Vac. Sci. Technol. B 23, 3124–3128 (2005)
https://doi.org/10.1116/1.2062649
Reduction of oxide layer on Ru surface by atomic-hydrogen treatment
J. Vac. Sci. Technol. B 23, 3129–3131 (2005)
https://doi.org/10.1116/1.2130356
Nanoscale patterning in application to materials and device structures
J. Vac. Sci. Technol. B 23, 3132–3137 (2005)
https://doi.org/10.1116/1.2130353
Low damage sputter deposition of tungsten for decanano compound semiconductor transistors
X. Cao; D. S. Macintyre; S. Thoms; X. Li; H. Zhou; C. D. W. Wilkinson; M. Holland; L. Donaldson; F. McEwan; H. McLellend; I. Thayne
J. Vac. Sci. Technol. B 23, 3138–3142 (2005)
https://doi.org/10.1116/1.2127937
Copper germanide Ohmic contact on -type gallium nitride using silicon tetrachloride plasma
J. Vac. Sci. Technol. B 23, 3143–3147 (2005)
https://doi.org/10.1116/1.2132331
Simulation of the cathode surface damages in a HOPFED during ion bombardment
J. Vac. Sci. Technol. B 23, 3148–3152 (2005)
https://doi.org/10.1116/1.2134720