Skip Nav Destination
Issues
November 2004
This content was originally published in
Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena
ISSN 1071-1023
EISSN 1520-8567
In this Issue
REGULAR ARTICLES
Thermally actuated probe array for parallel dip-pen nanolithography
J. Vac. Sci. Technol. B 22, 2563–2567 (2004)
https://doi.org/10.1116/1.1805544
Effect of spontaneous and piezoelectric polarization on intersubband transition in quantum well
J. Vac. Sci. Technol. B 22, 2568–2573 (2004)
https://doi.org/10.1116/1.1805543
Modeling of electronic transport in junctions
J. Vac. Sci. Technol. B 22, 2574–2579 (2004)
https://doi.org/10.1116/1.1805547
Redeposition of etch products on sidewalls during etching in a fluorocarbon plasma. V. Effects of ratio in plasma gases
J. Vac. Sci. Technol. B 22, 2580–2588 (2004)
https://doi.org/10.1116/1.1808746
Fabrication of ordered array of tungsten nanoparticles on anodic porous alumina by electron-beam-induced selective deposition
J. Vac. Sci. Technol. B 22, 2589–2593 (2004)
https://doi.org/10.1116/1.1814108
Investigation of surface modifications of 193 and photoresist materials during low-pressure plasma etching
J. Vac. Sci. Technol. B 22, 2594–2603 (2004)
https://doi.org/10.1116/1.1805545
Influence of molecular weight of resist polymers on surface roughness and line-edge roughness
J. Vac. Sci. Technol. B 22, 2604–2610 (2004)
https://doi.org/10.1116/1.1805546
Dielectric properties of highly (100) oriented thin films grown on Si with MgO buffer layer
J. Vac. Sci. Technol. B 22, 2615–2619 (2004)
https://doi.org/10.1116/1.1809613
Mechanisms of nano-hole drilling due to nano-probe intense electron beam irradiation on a stainless steel
J. Vac. Sci. Technol. B 22, 2620–2627 (2004)
https://doi.org/10.1116/1.1811626
Pt nanostructured electrode encapsulated by a tantalum oxide for thin-film fuel cell
J. Vac. Sci. Technol. B 22, 2628–2631 (2004)
https://doi.org/10.1116/1.1814109
Surface flatness of polycrystalline copper after argon ion etching followed by annealing
J. Vac. Sci. Technol. B 22, 2632–2634 (2004)
https://doi.org/10.1116/1.1814110
Annealing temperature stability of Ir and Ni-based Ohmic contacts on high electron mobility transistors
B. S. Kang; S. Kim; J. R. La Roche; F. Ren; R. C. Fitch; J. K. Gillespie; N. Moser; T. Jenkins; J. Sewell; D. Via; A. Crespo; A. M. Dabiran; P. P. Chow; A. Osinsky; S. J. Pearton
J. Vac. Sci. Technol. B 22, 2635–2639 (2004)
https://doi.org/10.1116/1.1814111
High resolution and aspect ratio two-dimensional photonic band-gap crystal
J. Vac. Sci. Technol. B 22, 2640–2648 (2004)
https://doi.org/10.1116/1.1819900
Damascene Cu electrodeposition on metal organic chemical vapor deposition-grown Ru thin film barrier
J. Vac. Sci. Technol. B 22, 2649–2653 (2004)
https://doi.org/10.1116/1.1819911
Low temperature growth of amorphous Si nanoparticles in oxide matrix for efficient visible photoluminescence
J. Vac. Sci. Technol. B 22, 2654–2657 (2004)
https://doi.org/10.1116/1.1819925
Dielectric function of thin-film titanium oxide with a granular nanostructure
J. Vac. Sci. Technol. B 22, 2658–2662 (2004)
https://doi.org/10.1116/1.1808743
Molecular-beam-epitaxy growth of high-quality quantum well lasers emitting at
J. S. Wang; R. S. Hsiao; G. Lin; K. F. Lin; H. Y. Liu; C. M. Lai; L. Wei; C. Y. Liang; J. Y. Chi; A. R. Kovsh; N. A. Maleev; D. A. Livshits; J. F. Chen; H. C. Yu; V. M. Ustinov
J. Vac. Sci. Technol. B 22, 2663–2667 (2004)
https://doi.org/10.1116/1.1807839
Optical study of spin injection dynamics in quantum wells with GaMnN injection layers
I. A. Buyanova; J. P. Bergman; W. M. Chen; G. Thaler; R. Frazier; C. R. Abernathy; S. J. Pearton; Jihyun Kim; F. Ren; F. V. Kyrychenko; C. J. Stanton; C.-C. Pan; G.-T. Chen; J.-I. Chyi; J. M. Zavada
J. Vac. Sci. Technol. B 22, 2668–2672 (2004)
https://doi.org/10.1116/1.1819897
Evaluation of atomic exchange in interfaces by tetragonal distortion measurements
J. Vac. Sci. Technol. B 22, 2673–2679 (2004)
https://doi.org/10.1116/1.1819924
Fabrication of diamond-like amorphous carbon cantilever resonators
J. Vac. Sci. Technol. B 22, 2680–2684 (2004)
https://doi.org/10.1116/1.1824042
Fabrication of masters for nanoimprint, step and flash, and soft lithography using hydrogen silsesquioxane and x-ray lithography
J. Vac. Sci. Technol. B 22, 2685–2690 (2004)
https://doi.org/10.1116/1.1807838
Effect of the deposition temperature on temperature coefficient of resistance in CuNi thin film resistors
J. Vac. Sci. Technol. B 22, 2698–2701 (2004)
https://doi.org/10.1116/1.1815313
Effects of postannealing on the bulk and interfacial characteristics of gate dielectrics prepared on Si by metalorganic chemical vapor deposition
J. Vac. Sci. Technol. B 22, 2702–2708 (2004)
https://doi.org/10.1116/1.1811627
Synthesis of tin-incorporated nanocomposite diamond like carbon films by plasma enhanced chemical vapor deposition and their characterization
J. Vac. Sci. Technol. B 22, 2709–2714 (2004)
https://doi.org/10.1116/1.1815318
Microstructure and resistivity characterization of CuAu I superlattice formed in thin films
J. Vac. Sci. Technol. B 22, 2715–2718 (2004)
https://doi.org/10.1116/1.1819899
Hydrogen in bond center and platelet-like defect configurations in amorphous hydrogenated silicon
J. Vac. Sci. Technol. B 22, 2719–2726 (2004)
https://doi.org/10.1116/1.1824191
Temperature-dependent dc characteristics of an heterojunction bipolar transistor with an InGaAsP spacer and a composite-collector structure
Jing-Yuh Chen; Chun-Yuan Chen; Kuan-Ming Lee; Chih-Hung Yen; Sheng-Fu Tsai; Shiou-Ying Cheng; Wen-Chau Liu
J. Vac. Sci. Technol. B 22, 2727–2733 (2004)
https://doi.org/10.1116/1.1807840
Chemically enhanced physical vapor deposition of tantalum nitride-based films for ultra-large-scale integrated devices
J. Vac. Sci. Technol. B 22, 2734–2742 (2004)
https://doi.org/10.1116/1.1808744
Experimental relationship between work function and dipole moment on and emitter surfaces
J. Vac. Sci. Technol. B 22, 2743–2747 (2004)
https://doi.org/10.1116/1.1811625
Formation of silicon on plasma synthesized aluminum nitride structure by ion cutting
J. Vac. Sci. Technol. B 22, 2748–2753 (2004)
https://doi.org/10.1116/1.1811629
Effects of applied voltages on planarization efficiency of Cu electropolishing
J. Vac. Sci. Technol. B 22, 2754–2757 (2004)
https://doi.org/10.1116/1.1819898
Optical and electrical properties of AlCrN films grown by molecular beam epitaxy
A. Y. Polyakov; N. B. Smirnov; A. V. Govorkov; R. M. Frazier; J. Y. Liefer; G. T. Thaler; C. R. Abernathy; S. J Pearton; J. M. Zavada
J. Vac. Sci. Technol. B 22, 2758–2763 (2004)
https://doi.org/10.1116/1.1819927
High aspect ratio pattern transfer in imprint lithography using a hybrid mold
J. Vac. Sci. Technol. B 22, 2764–2767 (2004)
https://doi.org/10.1116/1.1824044
Fabrication of metallic nanostructures by atomic force microscopy nanomachining and lift-off process
J. Vac. Sci. Technol. B 22, 2768–2771 (2004)
https://doi.org/10.1116/1.1815314
Etching of porous SiOCH materials in fluorocarbon-based plasmas
J. Vac. Sci. Technol. B 22, 2772–2784 (2004)
https://doi.org/10.1116/1.1815316
Detection of bacterial cells and antibodies using surface micromachined thin silicon cantilever resonators
J. Vac. Sci. Technol. B 22, 2785–2791 (2004)
https://doi.org/10.1116/1.1824047
Formation of density controlled Cr-filled carbon nanotubes on Au–Cr solid solution
J. Vac. Sci. Technol. B 22, 2792–2798 (2004)
https://doi.org/10.1116/1.1808747
Nanocomposite low- SiCOH films by plasma-enhanced chemical vapor deposition using vinyltrimethylsilane and
J. Vac. Sci. Technol. B 22, 2799–2803 (2004)
https://doi.org/10.1116/1.1811628
Ag metallization on silicides with nitride barriers
J. Vac. Sci. Technol. B 22, 2804–2810 (2004)
https://doi.org/10.1116/1.1815312
Fabrication and properties of ultranano, nano, and microcrystalline diamond membranes and sheets
J. Vac. Sci. Technol. B 22, 2811–2817 (2004)
https://doi.org/10.1116/1.1819928
Nanostructures produced by ultraviolet laser irradiation of silicon. I. Rippled structures
J. Vac. Sci. Technol. B 22, 2823–2835 (2004)
https://doi.org/10.1116/1.1821575
Nanostructures produced by ultraviolet laser irradiation of silicon. II. Nanoprotrusions and nanoparticles
J. Vac. Sci. Technol. B 22, 2836–2843 (2004)
https://doi.org/10.1116/1.1821576
Particle adhesion and removal mechanisms during brush scrubber cleaning
K. Xu; R. Vos; G. Vereecke; G. Doumen; W. Fyen; P. W. Mertens; M. M. Heyns; C. Vinckier; J. Fransaer
J. Vac. Sci. Technol. B 22, 2844–2852 (2004)
https://doi.org/10.1116/1.1815319
Application of ion beam etching technique to the direct fabrication of silicon microtip arrays
J. Vac. Sci. Technol. B 22, 2853–2859 (2004)
https://doi.org/10.1116/1.1826061
BRIEF REPORTS AND COMMENTS
Cold-field-emission tips aging study using surface potential measurements
J. Vac. Sci. Technol. B 22, 2860–2862 (2004)
https://doi.org/10.1116/1.1815317
ERRATA
PAPERS FROM THE 48TH INTERNATIONAL CONFERENCE ON ELECTRON, ION, AND PHOTON BEAM
Plenary
Liquid immersion lithography: Why, how, and when?
M. Rothschild; T. M. Bloomstein; R. R. Kunz; V. Liberman; M. Switkes; S. T. Palmacci; J. H. C. Sedlacek; D. Hardy; A. Grenville
J. Vac. Sci. Technol. B 22, 2877–2881 (2004)
https://doi.org/10.1116/1.1802955
Electron Beam Lithography
Full-field exposure performance of electron projection lithography tool
Kazuaki Suzuki; Noriyuki Hirayanagi; Tomoharu Fujiwara; Atsushi Yamada; Junji Ikeda; Takehisa Yahiro; Shinichi Kojima; Jin Udagawa; Hajime Yamamoto; Norihiro Katakura; Motoko Suzuki; Takashi Aoyama; Hidekazu Takekoshi; Takaaki Umemoto; Hiroyasu Shimizu; Saori Fukui; Shohei Suzuki; Teruaki Okino; Yukiharu Ohkubo; Toshimasa Shimoda; Toru Tanida; Yoichi Watanabe; Yoshiaki Kohama; Kaoru Ohmori; Futoshi Mori; Shigeru Takemoto; Takeshi Yoshioka; Hiroshi Hirose; Kenji Morita; Kazunari Hada; Shintaro Kawata; Yukio Kakizaki; Takaharu Miura
J. Vac. Sci. Technol. B 22, 2885–2890 (2004)
https://doi.org/10.1116/1.1808715
Influence of Coulomb effects on electron projection lithography process
J. Vac. Sci. Technol. B 22, 2891–2896 (2004)
https://doi.org/10.1116/1.1821571
Probe shape measurement in an electron beam lithography system
J. Vac. Sci. Technol. B 22, 2897–2901 (2004)
https://doi.org/10.1116/1.1821579
Electron-beam-based photomask repair
Klaus Edinger; Hans Becht; Johannes Bihr; Volker Boegli; Michael Budach; Thorsten Hofmann; Hans W. P. Koops; Peter Kuschnerus; Jens Oster; Petra Spies; Bernd Weyrauch
J. Vac. Sci. Technol. B 22, 2902–2906 (2004)
https://doi.org/10.1116/1.1808711
Electron beam induced conductivity in polymethyl methacrylate, polyimide, and thin films
J. Vac. Sci. Technol. B 22, 2907–2911 (2004)
https://doi.org/10.1116/1.1826062
Full MEMS monolithic microcolumn for wafer-level arrayal
J. Vac. Sci. Technol. B 22, 2912–2916 (2004)
https://doi.org/10.1116/1.1824952
Variable cell projection as an advance in electron-beam cell projection system
J. Vac. Sci. Technol. B 22, 2917–2922 (2004)
https://doi.org/10.1116/1.1808741
3D proximity effect correction based on the simplified electron energy flux model in electron-beam lithography
J. Vac. Sci. Technol. B 22, 2923–2928 (2004)
https://doi.org/10.1116/1.1824201
Representation of nonrectangular features for exposure estimation and proximity effect correction in electron-beam lithography
J. Vac. Sci. Technol. B 22, 2929–2935 (2004)
https://doi.org/10.1116/1.1824058
Electron beam lithography for data storage: Quantifying the proximity effect as a function of CAD design and thin metal layers
J. Vac. Sci. Technol. B 22, 2936–2942 (2004)
https://doi.org/10.1116/1.1815297
Experimental and simulation comparison of electron-beam proximity correction
J. Vac. Sci. Technol. B 22, 2943–2947 (2004)
https://doi.org/10.1116/1.1808742
Optimum dose for shot noise limited CD uniformity in electron-beam lithography
J. Vac. Sci. Technol. B 22, 2948–2955 (2004)
https://doi.org/10.1116/1.1821577
EUV Lithography
At-wavelength alignment and testing of the 0.3 NA MET optic
Kenneth A. Goldberg; Patrick P. Naulleau; Paul E. Denham; Senajith B. Rekawa; Keith Jackson; Erik H. Anderson; J. Alexander Liddle
J. Vac. Sci. Technol. B 22, 2956–2961 (2004)
https://doi.org/10.1116/1.1815303
Extreme ultraviolet microexposures at the Advanced Light Source using the 0.3 numerical aperture micro-exposure tool optic
Patrick P. Naulleau; Kenneth A. Goldberg; Erik Anderson; Jason P. Cain; Paul Denham; Keith Jackson; Anne-Sophie Morlens; Seno Rekawa; Farhad Salmassi
J. Vac. Sci. Technol. B 22, 2962–2965 (2004)
https://doi.org/10.1116/1.1802851
Effects of flare in extreme ultraviolet lithography: Learning from the engineering test stand
J. Vac. Sci. Technol. B 22, 2966–2969 (2004)
https://doi.org/10.1116/1.1824068
Phase measurement of reflection of EUV multilayer mirror using EUV standing waves
J. Vac. Sci. Technol. B 22, 2970–2974 (2004)
https://doi.org/10.1116/1.1815302
Development of projection optics set-3 for high-numerical-aperture extreme ultraviolet exposure tool (HiNA)
Tetsuya Oshino; Shinichi Takahashi; Takahiro Yamamoto; Tatsuya Miyoshi; Masayuki Shiraishi; Takaharu Komiya; Noriaki Kandaka; Hiroyuki Kondo; Kiyoto Mashima; Kazushi Nomura; Katsuhiko Murakami; Takeshi Okuyama; Hiroaki Oizumi; Iwao Nishiyama; Shinji Okazaki
J. Vac. Sci. Technol. B 22, 2975–2979 (2004)
https://doi.org/10.1116/1.1808734
Astigmatism measurement by lateral shearing interferometer
Zhiqiang Liu; Kasumi Sugisaki; Mikihiko Ishii; Yucong Zhu; Jun Saito; Akiyosi Suzuki; Masanobu Hasegawa; Katsuhiko Murakami
J. Vac. Sci. Technol. B 22, 2980–2983 (2004)
https://doi.org/10.1116/1.1815307
Angular dependency of off-axis illumination on 100-nm-width pattern printability for extreme ultraviolet lithography: Ru/Mo/Si reflector system
J. Vac. Sci. Technol. B 22, 2984–2986 (2004)
https://doi.org/10.1116/1.1824056
Ion Beam Lithography
Conductive nanostructure fabrication by focused ion beam direct-writing of silver nanoparticles
J. Vac. Sci. Technol. B 22, 2987–2991 (2004)
https://doi.org/10.1116/1.1825015
Single ion implantation with scanning probe alignment
A. Persaud; F. I. Allen; F. Gicquel; S. J. Park; J. A. Liddle; T. Schenkel; Tzv. Ivanov; K. Ivanova; I. W. Rangelow; J. Bokor
J. Vac. Sci. Technol. B 22, 2992–2994 (2004)
https://doi.org/10.1116/1.1802891
Advanced nanoscale material processing with focused ion beams
J. Vac. Sci. Technol. B 22, 2995–2999 (2004)
https://doi.org/10.1116/1.1813467
Focused ion beam induced deposition of low-resistivity copper material
J. Vac. Sci. Technol. B 22, 3000–3003 (2004)
https://doi.org/10.1116/1.1826065
Optimum mode of operation for a low energy focused ion beam system
J. Vac. Sci. Technol. B 22, 3004–3007 (2004)
https://doi.org/10.1116/1.1809630
Gas delivery and virtual process chamber concept for gas-assisted material processing in a focused ion beam system
J. Vac. Sci. Technol. B 22, 3008–3011 (2004)
https://doi.org/10.1116/1.1815306
Formation of GaN films by Ga ion direct deposition under nitrogen radical atmosphere
J. Vac. Sci. Technol. B 22, 3012–3015 (2004)
https://doi.org/10.1116/1.1808733
Investigations of the focused-ion-beam implantation in resist films for nanometer lithography applications
J. Vac. Sci. Technol. B 22, 3016–3020 (2004)
https://doi.org/10.1116/1.1813451
Maskless Lithography
Initial lithography results from the digital electrostatic e-beam array lithography concept
L. R. Baylor; W. L. Gardner; X. Yang; R. J. Kasica; M. A. Guillorn; B. Blalock; H. Cui; D. K. Hensley; S. Islam; D. H. Lowndes; A. V. Melechko; V. I. Merkulov; D. C. Joy; P. D. Rack; M. L. Simpson; D. K. Thomas
J. Vac. Sci. Technol. B 22, 3021–3024 (2004)
https://doi.org/10.1116/1.1824060
halide photocathode for multi-electron-beam pattern generator
J. Vac. Sci. Technol. B 22, 3025–3031 (2004)
https://doi.org/10.1116/1.1823433
Alpha-prototype system for zone-plate-array lithography
J. Vac. Sci. Technol. B 22, 3032–3037 (2004)
https://doi.org/10.1116/1.1813464
Comparison of tilting and piston mirror elements for node spatial light modulator optical maskless lithography
J. Vac. Sci. Technol. B 22, 3038–3042 (2004)
https://doi.org/10.1116/1.1824063
Masks
Effect of electrostatic chucking and substrate thickness uniformity on extreme ultraviolet lithography mask flatness
J. Vac. Sci. Technol. B 22, 3043–3048 (2004)
https://doi.org/10.1116/1.1808738
Effect of mask pattern correction for off-axis incident light in extreme ultraviolet lithography
J. Vac. Sci. Technol. B 22, 3053–3058 (2004)
https://doi.org/10.1116/1.1809616
Characterization of extreme ultraviolet masks by extreme ultraviolet scatterometry
J. Vac. Sci. Technol. B 22, 3059–3062 (2004)
https://doi.org/10.1116/1.1809615
Influence of glass substrate surface roughness on extreme ultraviolet reflectivity of multilayer
J. Vac. Sci. Technol. B 22, 3063–3066 (2004)
https://doi.org/10.1116/1.1808731
Lithographic performance of diamond-like carbon membrane mask in electron projection lithography
J. Vac. Sci. Technol. B 22, 3067–3071 (2004)
https://doi.org/10.1116/1.1808714
Ultrathin membrane masks for electron projection lithography
O. R. Wood, II; W. J. Trybula; J. Greschner; S. Kalt; T. Bayer; S. Shimizu; H. Yamamoto; K. Suzuki; M. S. Gordon; C. F. Robinson; R. S. Dhaliwal; C. W. Thiel; N. Caldwell; M. S. Lawliss; C. Huang
J. Vac. Sci. Technol. B 22, 3072–3076 (2004)
https://doi.org/10.1116/1.1802911
Assessment of image placement errors induced in electron projection lithography masks by chucking
J. Vac. Sci. Technol. B 22, 3077–3081 (2004)
https://doi.org/10.1116/1.1808737
Thermal analysis of diamondlike carbon membrane masks in projection electron-beam lithography
J. Vac. Sci. Technol. B 22, 3082–3086 (2004)
https://doi.org/10.1116/1.1826059
Stress and image-placement distortions of low-energy electron projection lithography masks
H. Eguchi; T. Susa; T. Sumida; T. Kurosu; T. Yoshii; K. Yotsui; K. Itoh; A. Tamura; R. L. Engelstad; E. G. Lovell; X. Azkorra; A. Mikkelson; J. Chang; S. M. Janowski
J. Vac. Sci. Technol. B 22, 3087–3091 (2004)
https://doi.org/10.1116/1.1824054
Approach to full-chip simulation and correction of stencil mask distortion for proximity electron lithography
J. Vac. Sci. Technol. B 22, 3092–3096 (2004)
https://doi.org/10.1116/1.1821503
Optimized HT-AttPSM blanks using multilayer films for the technology node
J. Vac. Sci. Technol. B 22, 3097–3101 (2004)
https://doi.org/10.1116/1.1805541
Pattern transferring technique using reversal mask process
J. Vac. Sci. Technol. B 22, 3102–3106 (2004)
https://doi.org/10.1116/1.1821574
Nanodevices
Assembly and electrical characterization of DNA-wrapped carbon nanotube devices
J. Vac. Sci. Technol. B 22, 3107–3111 (2004)
https://doi.org/10.1116/1.1815304
Silicon nitride gate dielectric for top-gated carbon nanotube field effect transistors
J. Vac. Sci. Technol. B 22, 3112–3114 (2004)
https://doi.org/10.1116/1.1824048
Formation of scale Coulomb blockade structures in silicon by electron beam lithography with a bilayer resist process
J. Vac. Sci. Technol. B 22, 3115–3118 (2004)
https://doi.org/10.1116/1.1825012
Single electron memory devices utilizing tunnel oxide barriers
Kameshwar K. Yadavalli; Nicolas R. Anderson; Tatiana A. Orlova; Alexei O. Orlov; Gregory L. Snider; Jeffrey Elam
J. Vac. Sci. Technol. B 22, 3119–3123 (2004)
https://doi.org/10.1116/1.1821506
Electron beam stimulated field-emission from single-walled carbon nanotubes
J. Vac. Sci. Technol. B 22, 3124–3127 (2004)
https://doi.org/10.1116/1.1809628
Fabrication and characterization of Au island single-electron transistors with step edge junctions
J. Vac. Sci. Technol. B 22, 3128–3132 (2004)
https://doi.org/10.1116/1.1815310
Wavelength tuning of an antenna-coupled infrared microbolometer
Michael A. Gritz; Meredith Metzler; Donald Malocha; Mohamed Abdel-Rahman; Brian Monacelli; Guy Zummo; Glenn D. Boreman
J. Vac. Sci. Technol. B 22, 3133–3136 (2004)
https://doi.org/10.1116/1.1813465
Nanomechanical switch fabrication by focused-ion-beam chemical vapor deposition
Takahiko Morita; Ken-ichiro Nakamatsu; Kazuhiro Kanda; Yuichi Haruyama; Kazushige Kondo; Takayuki Hoshino; Takashi Kaito; Jun-ichi Fujita; Toshinari Ichihashi; Masahiko Ishida; Yukinori Ochiai; Tsutomu Tajima; Shinji Matsui
J. Vac. Sci. Technol. B 22, 3137–3142 (2004)
https://doi.org/10.1116/1.1826063
Compliant pin chuck for minimizing the effect of backside particles on wafer planarity
J. Vac. Sci. Technol. B 22, 3147–3150 (2004)
https://doi.org/10.1116/1.1825017
Piezoelectric projective displays
J. Vac. Sci. Technol. B 22, 3151–3153 (2004)
https://doi.org/10.1116/1.1809629
Nanoflash device with self-aligned double floating gates using scanning probe lithography and tetramethylammonium hydroxide wet etching
J. Vac. Sci. Technol. B 22, 3154–3157 (2004)
https://doi.org/10.1116/1.1826060
Nanofabrication
Biological lithography: Improvements in DNA synthesis methods
J. Vac. Sci. Technol. B 22, 3163–3167 (2004)
https://doi.org/10.1116/1.1824066
Assembled micro-electromechanical-systems microcolumn from a single layer silicon process
J. Vac. Sci. Technol. B 22, 3168–3173 (2004)
https://doi.org/10.1116/1.1815311
Deep-ultraviolet–microelectromechanical systems stencils for high-throughput resistless patterning of mesoscopic structures
J. Vac. Sci. Technol. B 22, 3174–3177 (2004)
https://doi.org/10.1116/1.1802931
Fabrication of sub- gaps between metallic electrodes using conventional lithographic techniques
J. Vac. Sci. Technol. B 22, 3178–3181 (2004)
https://doi.org/10.1116/1.1808712
Nanoscale electronics based on two-dimensional dopant patterns in silicon
J. Vac. Sci. Technol. B 22, 3182–3185 (2004)
https://doi.org/10.1116/1.1813466
mechanically buttressed high aspect ratio zone plates: Fabrication and performance
Deirdre L. Olynick; Bruce D. Harteneck; Eugene Veklerov; Mihir Tendulkar; J. Alexander Liddle; A. L. David Kilcoyne; Tolek Tyliszczak
J. Vac. Sci. Technol. B 22, 3186–3190 (2004)
https://doi.org/10.1116/1.1815298
Patterned grafting of polymer brushes onto flexible polymer substrates
Celestino Padeste; Harun H. Solak; Hans-Peter Brack; Michal Slaski; Selmiye Alkan Gürsel; Günther G. Scherer
J. Vac. Sci. Technol. B 22, 3191–3195 (2004)
https://doi.org/10.1116/1.1805542
Structure quality of high aspect ratio sub-micron polymer structures patterned at the electron storage ring ANKA
J. Vac. Sci. Technol. B 22, 3196–3201 (2004)
https://doi.org/10.1116/1.1824910
Assessment of lithographic process variation effects in InGaAsP annular Bragg resonator lasers
J. Vac. Sci. Technol. B 22, 3206–3209 (2004)
https://doi.org/10.1116/1.1808740
Plasma doping technology for fabrication of nanoscale metal-oxide-semiconductor devices
J. Vac. Sci. Technol. B 22, 3210–3213 (2004)
https://doi.org/10.1116/1.1813461
Towards intersubband quantum box lasers: Electron-beam lithography update
J. Vac. Sci. Technol. B 22, 3214–3216 (2004)
https://doi.org/10.1116/1.1824055
Scanning thermal lithography: Maskless, submicron thermochemical patterning of photoresist by ultracompliant probes
J. Vac. Sci. Technol. B 22, 3217–3220 (2004)
https://doi.org/10.1116/1.1808732
In situ observation of carbon-nanopillar tubulization process
J. Vac. Sci. Technol. B 22, 3221–3223 (2004)
https://doi.org/10.1116/1.1808713
Nanoimprint Components and Processes
Impact of residual layer uniformity on UV stabilization after embossing
M. Wissen; H. Schulz; N. Bogdanski; H.-C. Scheer; Y. Hirai; H. Kikuta; G. Ahrens; F. Reuther; T. Glinsner
J. Vac. Sci. Technol. B 22, 3224–3228 (2004)
https://doi.org/10.1116/1.1808716
High resolution lithography with PDMS molds
J. Vac. Sci. Technol. B 22, 3229–3232 (2004)
https://doi.org/10.1116/1.1824057
Effect of fluoroalkyl substituents on the reactions of alkylchlorosilanes with mold surfaces for nanoimprint lithography
J. Vac. Sci. Technol. B 22, 3233–3241 (2004)
https://doi.org/10.1116/1.1815305
Interferometric in situ alignment for UV-based nanoimprint
J. Vac. Sci. Technol. B 22, 3242–3245 (2004)
https://doi.org/10.1116/1.1808735
Stamps for nanoimprint lithography by extreme ultraviolet interference lithography
J. Vac. Sci. Technol. B 22, 3246–3250 (2004)
https://doi.org/10.1116/1.1828087
Nanoimprint Fabrication
Duo-mold imprinting of three-dimensional polymeric structures
J. Vac. Sci. Technol. B 22, 3251–3256 (2004)
https://doi.org/10.1116/1.1813459
Direct imprint of sub- features into metal using diamond and SiC stamps
J. Vac. Sci. Technol. B 22, 3257–3259 (2004)
https://doi.org/10.1116/1.1825010
High fidelity blazed grating replication using nanoimprint lithography
Chih-Hao Chang; J. C. Montoya; M. Akilian; A. Lapsa; R. K. Heilmann; M. L. Schattenburg; M. Li; K. A. Flanagan; A. P. Rasmussen; J. F. Seely; J. M. Laming; B. Kjornrattanawanich; L. I. Goray
J. Vac. Sci. Technol. B 22, 3260–3264 (2004)
https://doi.org/10.1116/1.1809614
Fabrication of a surface acoustic wave-based correlator using step-and-flash imprint lithography
G. F. Cardinale; J. L. Skinner; A. A. Talin; R. W. Brocato; D. W. Palmer; D. P. Mancini; W. J. Dauksher; K. Gehoski; N. Le; K. J. Nordquist; D. J. Resnick
J. Vac. Sci. Technol. B 22, 3265–3270 (2004)
https://doi.org/10.1116/1.1821508
Imprint lithography issues in the fabrication of high electron mobility transistors
J. Vac. Sci. Technol. B 22, 3271–3274 (2004)
https://doi.org/10.1116/1.1821504
DNA nanopatterning with self-organization by using nanoimprint
J. Vac. Sci. Technol. B 22, 3275–3278 (2004)
https://doi.org/10.1116/1.1823438
Nanoimprint Materials
Predicting the fluid behavior during the dispensing process for step-and-flash imprint lithography
J. Vac. Sci. Technol. B 22, 3279–3282 (2004)
https://doi.org/10.1116/1.1825016
Process development and characterization of antisticking layers on nickel-based stamps designed for nanoimprint lithography
J. Vac. Sci. Technol. B 22, 3283–3287 (2004)
https://doi.org/10.1116/1.1824051
Simulation and experimental study of polymer deformation in nanoimprint lithography
J. Vac. Sci. Technol. B 22, 3288–3293 (2004)
https://doi.org/10.1116/1.1826058
Stability of functional polymers after plasticizer-assisted imprint lithography
J. Vac. Sci. Technol. B 22, 3294–3299 (2004)
https://doi.org/10.1116/1.1825013
Nanoimprint Templates
Inspection of templates for imprint lithography
Harald F. Hess; Don Pettibone; David Adler; Kirk Bertsche; Kevin J. Nordquist; David P. Mancini; William J. Dauksher; Douglas J. Resnick
J. Vac. Sci. Technol. B 22, 3300–3305 (2004)
https://doi.org/10.1116/1.1813456
Repair of step and flash imprint lithography templates
W. J. Dauksher; K. J. Nordquist; N. V. Le; K. A. Gehoski; D. P. Mancini; D. J. Resnick; L. Casoose; R. Bozak; R. White; J. Csuy; D. Lee
J. Vac. Sci. Technol. B 22, 3306–3311 (2004)
https://doi.org/10.1116/1.1815300
Controlling imprint distortions in step-and-flash imprint lithography
J. Vac. Sci. Technol. B 22, 3312–3317 (2004)
https://doi.org/10.1116/1.1825011
Mold deformation in nanoimprint lithography
J. Vac. Sci. Technol. B 22, 3318–3322 (2004)
https://doi.org/10.1116/1.1815299
Polyvinyl alcohol templates for low cost, high resolution, complex printing
J. Vac. Sci. Technol. B 22, 3323–3326 (2004)
https://doi.org/10.1116/1.1827218
Nanomagnetics and Nanophotonics
Fabrication of 1D and 2D vertical nanomagnetic resonators
J. Vac. Sci. Technol. B 22, 3327–3330 (2004)
https://doi.org/10.1116/1.1824067
Nanoscopic templates using self-assembled cylindrical diblock copolymers for patterned media
J. Vac. Sci. Technol. B 22, 3331–3334 (2004)
https://doi.org/10.1116/1.1815301
Elliptical-ring magnetic arrays fabricated using zone-plate-array lithography
J. Vac. Sci. Technol. B 22, 3335–3338 (2004)
https://doi.org/10.1116/1.1809624
Electron-beam SAFIER™ process and its application for magnetic thin-film heads
J. Vac. Sci. Technol. B 22, 3339–3343 (2004)
https://doi.org/10.1116/1.1815309
-based microlasers with photonic crystal mirrors
J. Vac. Sci. Technol. B 22, 3344–3347 (2004)
https://doi.org/10.1116/1.1823434
Photonic crystals in polymers by direct electron-beam lithography presenting a photonic band gap
J. Vac. Sci. Technol. B 22, 3348–3351 (2004)
https://doi.org/10.1116/1.1813457
Large-area patterning for photonic crystals via coherent diffraction lithography
J. Vac. Sci. Technol. B 22, 3352–3355 (2004)
https://doi.org/10.1116/1.1813448
Photonic crystal waveguides with propagation losses in the range
J. Vac. Sci. Technol. B 22, 3356–3358 (2004)
https://doi.org/10.1116/1.1824053
Fabrication of autocloned photonic crystals by using high-density-plasma chemical vapor deposition
J. Vac. Sci. Technol. B 22, 3359–3362 (2004)
https://doi.org/10.1116/1.1824059
Fabrication of photonic crystal waveguides composed of a square lattice of dielectric rods
J. Vac. Sci. Technol. B 22, 3363–3365 (2004)
https://doi.org/10.1116/1.1821573
Nanometrology, Inspection and Alignment
Dynamic self-inspection of integrated circuit pattern defects
J. Vac. Sci. Technol. B 22, 3373–3377 (2004)
https://doi.org/10.1116/1.1824049
Nanometer gap measurement and verification via the chirped-Talbot effect
J. Vac. Sci. Technol. B 22, 3378–3381 (2004)
https://doi.org/10.1116/1.1808739
Scanning-spatial-phase alignment for zone-plate-array lithography
J. Vac. Sci. Technol. B 22, 3382–3385 (2004)
https://doi.org/10.1116/1.1809631