Skip Nav Destination
Issues
March 2003
This content was originally published in
Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena
ISSN 1071-1023
EISSN 1520-8567
In this Issue
ARTICLES
Design of a shallow thermally stable ohmic contact to p-type InGaSb
J. Vac. Sci. Technol. B 21, 633–640 (2003)
https://doi.org/10.1116/1.1545731
Effects of KrF (248 nm) excimer laser irradiation on electrical and optical properties of GaN:Mg
J. Vac. Sci. Technol. B 21, 641–644 (2003)
https://doi.org/10.1116/1.1545732
Characterization of vapor deposited poly (ethylene glycol) films on silicon surfaces for surface modification of microfluidic systems
J. Vac. Sci. Technol. B 21, 645–654 (2003)
https://doi.org/10.1116/1.1545733
Modeling the impact of photoresist trim etch process on photoresist surface roughness
J. Vac. Sci. Technol. B 21, 655–659 (2003)
https://doi.org/10.1116/1.1545735
Large area direct nanoimprinting of gel gratings for optical applications
J. Vac. Sci. Technol. B 21, 660–663 (2003)
https://doi.org/10.1116/1.1545736
Silicon nanowire with programmable conductivity analyzed by scanning Maxwell-stress microscopy
J. Vac. Sci. Technol. B 21, 664–669 (2003)
https://doi.org/10.1116/1.1545737
Properties of ZnO-doped thin films by rf sputtering
J. Vac. Sci. Technol. B 21, 670–676 (2003)
https://doi.org/10.1116/1.1545751
Stability improvement of deuterated amorphous silicon thin-film transistors characterized by modified Schottky-contact gated-four-probe method
J. Vac. Sci. Technol. B 21, 677–682 (2003)
https://doi.org/10.1116/1.1545752
Cell behavior on lithographically defined nanostructured substrates
J. Vac. Sci. Technol. B 21, 683–687 (2003)
https://doi.org/10.1116/1.1545753
Room-temperature nanoimprint and nanotransfer printing using hydrogen silsequioxane
J. Vac. Sci. Technol. B 21, 688–692 (2003)
https://doi.org/10.1116/1.1545754
Fabrication and electrical characteristics of carbon nanotube-based microcathodes for use in a parallel electron-beam lithography system
K. B. K. Teo; M. Chhowalla; G. A. J. Amaratunga; W. I. Milne; P. Legagneux; G. Pirio; L. Gangloff; D. Pribat; V. Semet; Vu Thien Binh; W. H. Bruenger; J. Eichholz; H. Hanssen; D. Friedrich; S. B. Lee; D. G. Hasko; H. Ahmed
J. Vac. Sci. Technol. B 21, 693–697 (2003)
https://doi.org/10.1116/1.1545755
Multiple-pulse laser annealing of preamorphized silicon for ultrashallow boron junction formation
J. Vac. Sci. Technol. B 21, 706–709 (2003)
https://doi.org/10.1116/1.1547747
Electron transport in a submicron-scale AlGaAs/GaAs field-effect transistor with InAs nanodots as the floating gate
J. Vac. Sci. Technol. B 21, 710–713 (2003)
https://doi.org/10.1116/1.1547748
Quantitative method of image analysis when drift is present in a scanning probe microscope
J. Vac. Sci. Technol. B 21, 714–718 (2003)
https://doi.org/10.1116/1.1553972
Fabrication and characterization of silicon nanocrystals by thermal oxidation of a-Si:H films in air
J. Vac. Sci. Technol. B 21, 719–728 (2003)
https://doi.org/10.1116/1.1547749
Carrier spilling revisited: On-bevel junction behavior of different electrical depth profiling techniques
J. Vac. Sci. Technol. B 21, 729–736 (2003)
https://doi.org/10.1116/1.1547723
Bias-induced junction displacements in scanning spreading resistance microscopy and scanning capacitance microscopy
J. Vac. Sci. Technol. B 21, 737–743 (2003)
https://doi.org/10.1116/1.1547724
Identification of artifacts in Auger electron spectroscopy due to surface topography
J. Vac. Sci. Technol. B 21, 744–747 (2003)
https://doi.org/10.1116/1.1545719
High-resolution complex structures for two-dimensional photonic crystals realized by x-ray diffraction lithography
L. Businaro; F. Romanato; P. Candeloro; E. Di Fabrizio; M. Patrini; M. Galli; C. Andreani; A. Passaseo; M. De Vittorio
J. Vac. Sci. Technol. B 21, 748–753 (2003)
https://doi.org/10.1116/1.1547726
Structural study of amorphous vanadium oxide films for thin film microbattery
J. Vac. Sci. Technol. B 21, 754–759 (2003)
https://doi.org/10.1116/1.1547746
Fabrication of monodomain alumina pore arrays with an interpore distance smaller than the lattice constant of the imprint stamp
J. Vac. Sci. Technol. B 21, 763–766 (2003)
https://doi.org/10.1116/1.1556397
Study of titanium silicide formation using spike anneal for integrated chip manufacturing
J. Vac. Sci. Technol. B 21, 767–774 (2003)
https://doi.org/10.1116/1.1559172
Single electron transistors with junctions
J. Vac. Sci. Technol. B 21, 775–780 (2003)
https://doi.org/10.1116/1.1560213
Influence of hydrogen plasma treatment on boron implanted junctions in silicon
J. Vac. Sci. Technol. B 21, 781–784 (2003)
https://doi.org/10.1116/1.1560331
Improvement of mechanical properties of nanometer period multilayer films at interfaces of each layer
J. Vac. Sci. Technol. B 21, 785–789 (2003)
https://doi.org/10.1116/1.1560217
Sub-0.1 μm nitride hard mask open process without precuring the ArF photoresist
J. Vac. Sci. Technol. B 21, 790–794 (2003)
https://doi.org/10.1116/1.1563626
Dry etching of ZnO films and plasma-induced damage to optical properties
J. Vac. Sci. Technol. B 21, 800–803 (2003)
https://doi.org/10.1116/1.1563252
Multilayer diffusion barrier for copper metallization using a thin interlayer metal Cr, and Zr) between two TiN films
J. Vac. Sci. Technol. B 21, 804–813 (2003)
https://doi.org/10.1116/1.1562645
Optical properties of thin film multilayer films for 157 nm optical lithography
J. Vac. Sci. Technol. B 21, 814–817 (2003)
https://doi.org/10.1116/1.1563253
Patterning thin films using synchrotron radiation stimulated etching with a Co contact mask
J. Vac. Sci. Technol. B 21, 818–822 (2003)
https://doi.org/10.1116/1.1563256
Development of an electron-beam lithography system for high accuracy masks
J. Vac. Sci. Technol. B 21, 823–827 (2003)
https://doi.org/10.1116/1.1547725
Integrated equipment-feature modeling investigation of fluorocarbon plasma etching of and photoresist
J. Vac. Sci. Technol. B 21, 828–836 (2003)
https://doi.org/10.1116/1.1562637
Crystallization and pyroelectric effect of semiconducting YBaCuO thin films deposited at different temperatures
J. Vac. Sci. Technol. B 21, 837–842 (2003)
https://doi.org/10.1116/1.1562638
Inductively coupled plasma reactive ion etching of GaInAsSb and AlGaAsSb for quaternary antimonide multiple interconnected module thermophotovoltaics
G. M. Peake; R. J. Shul; C. I. H. Ashby; J. G. Cederberg; M. J. Hafich; R. M. Biefeld; M. N. Palmisiano
J. Vac. Sci. Technol. B 21, 843–847 (2003)
https://doi.org/10.1116/1.1562639
Properties of reactive-sputtered films for complementary metal–oxide–semiconductor silicon storage node electrode diffusion barriers
J. Vac. Sci. Technol. B 21, 848–857 (2003)
https://doi.org/10.1116/1.1562640
Improving the quality of electroplated copper films by rapid thermal annealing
J. Vac. Sci. Technol. B 21, 858–861 (2003)
https://doi.org/10.1116/1.1562641
Applications of micro-Raman spectroscopy in salicide characterization for Si device fabrication
J. Vac. Sci. Technol. B 21, 862–867 (2003)
https://doi.org/10.1116/1.1562642
Experimental studies of the cap structure of single-walled carbon nanotubes
J. Vac. Sci. Technol. B 21, 868–871 (2003)
https://doi.org/10.1116/1.1559171
Analysis of the I–V characteristics of Al/4H-SiC Schottky diodes
J. Vac. Sci. Technol. B 21, 872–878 (2003)
https://doi.org/10.1116/1.1560249
Organic modified Schottky contacts: Barrier height engineering and chemical stability
J. Vac. Sci. Technol. B 21, 879–882 (2003)
https://doi.org/10.1116/1.1562636
Growth and characterization of modulation-doped double barrier quantum well infrared photodetectors
J. Vac. Sci. Technol. B 21, 883–887 (2003)
https://doi.org/10.1116/1.1562643
Characterization of different-Al-content heterostructures and high-electron-mobility transistors on sapphire
J. Vac. Sci. Technol. B 21, 888–894 (2003)
https://doi.org/10.1116/1.1556398
Role of in the oxidation of Si(100)
J. Vac. Sci. Technol. B 21, 895–899 (2003)
https://doi.org/10.1116/1.1563254
Low-loss InP-based photonic-crystal waveguides etched with chemically assisted ion beam etching
J. Vac. Sci. Technol. B 21, 900–903 (2003)
https://doi.org/10.1116/1.1563257
Chemical topography analyses of silicon gates etched in and high density plasmas
J. Vac. Sci. Technol. B 21, 904–911 (2003)
https://doi.org/10.1116/1.1563255
BRIEF REPORTS AND COMMENTS
Deep reactive ion etching characteristics of a macromachined chemical reactor
J. Vac. Sci. Technol. B 21, 912–915 (2003)
https://doi.org/10.1116/1.1560162
LETTERS
Contact resistance of newly proposed RuTiN and RuTiO diffusion barriers for future high-density memory capacitors
J. Vac. Sci. Technol. B 21, 919–922 (2003)
https://doi.org/10.1116/1.1545734
Future of plasma etching for microelectronics: Challenges and opportunities
Gottlieb S. Oehrlein, Stephan M. Brandstadter, et al.
Novel low-temperature and high-flux hydrogen plasma source for extreme-ultraviolet lithography applications
A. S. Stodolna, T. W. Mechielsen, et al.
High-efficiency metalenses for zone-plate-array lithography
Henry I. Smith, Mark Mondol, et al.