Skip Nav Destination
Issues
November 2002
This content was originally published in
Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena
ISSN 1071-1023
EISSN 1520-8567
In this Issue
REGULAR ARTICLES
Comparison of atomic force microscopy imaging methods and roughness determinations for a highly polished quartz surface
J. Vac. Sci. Technol. B 20, 2183–2186 (2002)
https://doi.org/10.1116/1.1513633
Initial oxidation phenomena of heavily phosphorus-doped silicon in dry oxygen
J. Vac. Sci. Technol. B 20, 2187–2191 (2002)
https://doi.org/10.1116/1.1513792
Silicon oxide contact hole etching employing an environmentally benign process
J. Vac. Sci. Technol. B 20, 2192–2198 (2002)
https://doi.org/10.1116/1.1513632
Simulations and experiments of etching of silicon in HBr plasmas for high aspect ratio features
J. Vac. Sci. Technol. B 20, 2199–2205 (2002)
https://doi.org/10.1116/1.1513621
Reflection high-energy electron diffraction observation of the dynamics of semiconductor quantum dot formation and decay
J. Vac. Sci. Technol. B 20, 2210–2213 (2002)
https://doi.org/10.1116/1.1515912
Comparison of in situ and ex situ plasma-treated metalorganic chemical vapor deposition titanium nitride thin films
J. Vac. Sci. Technol. B 20, 2219–2224 (2002)
https://doi.org/10.1116/1.1515911
Effect of implant oxide on ultrashallow junction formation
J. Vac. Sci. Technol. B 20, 2225–2228 (2002)
https://doi.org/10.1116/1.1515909
Polymer thickness effects on Bosch etch profiles
J. Vac. Sci. Technol. B 20, 2229–2232 (2002)
https://doi.org/10.1116/1.1515910
Leveling effects of copper electrolytes with hybrid-mode additives
Kun-Cheng Lin; Jia-Min Shieh; Shih-Chieh Chang; Bau-Tong Dai; Chia-Fu Chen; Ming-Shiann Feng; Ying-Hao Li
J. Vac. Sci. Technol. B 20, 2233–2237 (2002)
https://doi.org/10.1116/1.1517262
Study of focused ion beam response of GaAs in the nanoscale regime
J. Vac. Sci. Technol. B 20, 2238–2242 (2002)
https://doi.org/10.1116/1.1517261
Influence of the surface Si/buried oxide interface on extended defect evolution in silicon-on-insulator scaled to 300 Å
J. Vac. Sci. Technol. B 20, 2243–2247 (2002)
https://doi.org/10.1116/1.1517410
Submicron pattern transfer to binary semiconductors via micromolding in capillaries
J. Vac. Sci. Technol. B 20, 2248–2251 (2002)
https://doi.org/10.1116/1.1517263
Dry etching of GaP with emphasis on selective etching over AlGaP
J. Vac. Sci. Technol. B 20, 2252–2255 (2002)
https://doi.org/10.1116/1.1517260
Comparative study of Ga- and N-polar GaN films grown on sapphire substrates by molecular beam epitaxy
D. Huang; M. A. Reshchikov; P. Visconti; F. Yun; A. A. Baski; T. King; H. Morkoç; J. Jasinski; Z. Liliental-Weber; C. W. Litton
J. Vac. Sci. Technol. B 20, 2256–2264 (2002)
https://doi.org/10.1116/1.1518969
Cryogenic cleavage used in gold substrate production
Jakub Mazurkiewicz; Freya J. Mearns; Dusan Losic; Laura Weeks; Eric R. Waclawik; Craig T. Rogers; Joseph G. Shapter; J. Justin Gooding
J. Vac. Sci. Technol. B 20, 2265–2270 (2002)
https://doi.org/10.1116/1.1518968
Beam-focusing characteristics of the diamond-film field emission arrays with parallel emitter, gates, and in-plane lens
J. Vac. Sci. Technol. B 20, 2271–2274 (2002)
https://doi.org/10.1116/1.1518970
Plasma assisted deposition of nanocrystalline BCN thin films and property characterization
J. Vac. Sci. Technol. B 20, 2275–2280 (2002)
https://doi.org/10.1116/1.1518973
Evaluation of the ion bombardment energy on silicon dioxide films deposited from plasmas on Si and unstrained substrates
J. Vac. Sci. Technol. B 20, 2281–2287 (2002)
https://doi.org/10.1116/1.1518967
Investigation of active Si pitting and its impact on 0.15 and n-type metal–oxide–semiconductor and p-type metal–oxide–semiconductor transistors
J. Vac. Sci. Technol. B 20, 2288–2294 (2002)
https://doi.org/10.1116/1.1518972
Investigations of pulse current electrodeposition for damascene copper metals
J. Vac. Sci. Technol. B 20, 2295–2298 (2002)
https://doi.org/10.1116/1.1518974
Effects of Ar inductively coupled plasma exposure on 4H-SiC Schottky rectifiers
J. Vac. Sci. Technol. B 20, 2299–2302 (2002)
https://doi.org/10.1116/1.1518971
Structure and size distribution of nanoparticles deposited on stainless steel mesh
J. Vac. Sci. Technol. B 20, 2303–2308 (2002)
https://doi.org/10.1116/1.1520557
Fabrication of Si field emitter arrays integrated with metal–oxide–semiconductor field-effect transistor driving circuits
J. Vac. Sci. Technol. B 20, 2309–2313 (2002)
https://doi.org/10.1116/1.1520553
Texture and resistivity of dilute binary Cu(Al), Cu(In), Cu(Ti), Cu(Nb), Cu(Ir), and Cu(W) alloy thin films
J. Vac. Sci. Technol. B 20, 2314–2319 (2002)
https://doi.org/10.1116/1.1520549
Direct printing of polymer microstructures on flat and spherical surfaces using a letterpress technique
J. Vac. Sci. Technol. B 20, 2320–2327 (2002)
https://doi.org/10.1116/1.1520554
Direct patterning of nanostructures by field-induced deposition from a scanning tunneling microscope tip
J. Vac. Sci. Technol. B 20, 2337–2345 (2002)
https://doi.org/10.1116/1.1520548
Electrical conductivity of sidewall-deposited fluorocarbon polymer in etching processes
J. Vac. Sci. Technol. B 20, 2346–2350 (2002)
https://doi.org/10.1116/1.1520551
Thickness metrology and end point control in W chemical vapor deposition process from using in situ mass spectrometry
J. Vac. Sci. Technol. B 20, 2351–2360 (2002)
https://doi.org/10.1116/1.1520555
Effects of Ti addition on the morphology, interfacial reaction, and diffusion of Cu on
J. Vac. Sci. Technol. B 20, 2361–2366 (2002)
https://doi.org/10.1116/1.1520552
Assessment of extreme ultraviolet-induced charging of subtractive metal lithography masks
J. Vac. Sci. Technol. B 20, 2367–2374 (2002)
https://doi.org/10.1116/1.1520550
Morphology and luminescence of porous GaN generated via Pt-assisted electroless etching
J. Vac. Sci. Technol. B 20, 2375–2383 (2002)
https://doi.org/10.1116/1.1521428
Photoresponse characteristics of heterojunction photodiodes
J. Vac. Sci. Technol. B 20, 2384–2387 (2002)
https://doi.org/10.1116/1.1524152
Scanning tunneling microscopy of endohedral metallofullerene on film
J. Vac. Sci. Technol. B 20, 2388–2392 (2002)
https://doi.org/10.1116/1.1524151
Radio-frequency discharge cleaning of silicon-capped Mo/Si multilayer extreme ultraviolet optics
J. Vac. Sci. Technol. B 20, 2393–2400 (2002)
https://doi.org/10.1116/1.1524153
Two-dimensional transverse cross-section nanopotentiometry of actively driven buried-heterostructure multiple-quantum-well lasers
J. Vac. Sci. Technol. B 20, 2401–2407 (2002)
https://doi.org/10.1116/1.1524150
Effect of photoacid generator concentration on sensitivity, photoacid generation, and deprotection of chemically amplified resists
J. Vac. Sci. Technol. B 20, 2413–2420 (2002)
https://doi.org/10.1116/1.1525811
Comparing ionized physical vapor deposition and high power magnetron copper seed deposition
J. Vac. Sci. Technol. B 20, 2421–2432 (2002)
https://doi.org/10.1116/1.1525812
Molybdenum-based nanostructured mixed oxides for sensing applications: Effect of the Mo oxide composition on the structure of sol–gel thin films
J. Vac. Sci. Technol. B 20, 2433–2440 (2002)
https://doi.org/10.1116/1.1526360
Near-surface electronic defects and morphology of
Y. M. Strzhemechny; P. E. Smith; S. T. Bradley; D. X. Liao; A. A. Rockett; K. Ramanathan; L. J. Brillson
J. Vac. Sci. Technol. B 20, 2441–2448 (2002)
https://doi.org/10.1116/1.1526357
Development of the point diffraction interferometer for extreme ultraviolet lithography: Design, fabrication, and evaluation
J. Vac. Sci. Technol. B 20, 2449–2458 (2002)
https://doi.org/10.1116/1.1526605
Fabrication of flexible polymer tubes for micro and nanofluidic applications
J. Vac. Sci. Technol. B 20, 2459–2465 (2002)
https://doi.org/10.1116/1.1526356
Photoinduced organic nanowires from self-assembled monolayers
Ying-Hong Qiao; Qing-Dao Zeng; Zhong-Yin Tan; Shan-Dong Xu; Dong Wang; Chen Wang; Li-Jun Wan; Chun-Li Bai
J. Vac. Sci. Technol. B 20, 2466–2469 (2002)
https://doi.org/10.1116/1.1526601
PAPERS FROM THE THIRD LOW ENERGY ELECTRON/MICROSCOPY PHOTOEMISSION ELECTRON MICROSCOPY WORKSHOP
SURFACE DYNAMICS, SURFACE PHASE TRANSITIONS, NUCLEATION, AND GROWTH
Low energy electron microscopy studies of steps on single crystal thin films of refractory metals
J. Vac. Sci. Technol. B 20, 2473–2477 (2002)
https://doi.org/10.1116/1.1521958
Growth shapes of Ag crystallites on the Si(111) surface
J. Vac. Sci. Technol. B 20, 2492–2495 (2002)
https://doi.org/10.1116/1.1523372
Low energy electron microscopy/diffraction study on growth of Ge on Si(113) surface
J. Vac. Sci. Technol. B 20, 2496–2499 (2002)
https://doi.org/10.1116/1.1523374
Growth dynamics of titanium silicide nanowires observed with low-energy electron microscopy
J. Vac. Sci. Technol. B 20, 2500–2504 (2002)
https://doi.org/10.1116/1.1525006
DIAMOND AND ORGANIC THIN-FILM APPLICATIONS
In situ emission microscopy of field emitter cathode arrays
J. Vac. Sci. Technol. B 20, 2505–2508 (2002)
https://doi.org/10.1116/1.1525008
Scanning photoelectron microscopy study of as-grown and heat-treated chemical vapor deposition boron-doped diamond films
J. Vac. Sci. Technol. B 20, 2509–2513 (2002)
https://doi.org/10.1116/1.1525009
DEVICE APPLICATIONS AND INSTRUMENTATION
Photoelectron emission microscopy of ultrathin oxide covered devices
J. Vac. Sci. Technol. B 20, 2514–2518 (2002)
https://doi.org/10.1116/1.1525007
Comparison of stigmatically focusing magnetic prisms of square versus round symmetries
J. Vac. Sci. Technol. B 20, 2519–2525 (2002)
https://doi.org/10.1116/1.1523375
Correction of chromatic and spherical aberration in electron microscopy utilizing the time structure of pulsed excitation sources
J. Vac. Sci. Technol. B 20, 2526–2534 (2002)
https://doi.org/10.1116/1.1523373
MAGNETIC THIN-FILM APPLICATIONS
Scanning electron microscope with polarization analysis: Micromagnetic structures in ultrathin films
J. Vac. Sci. Technol. B 20, 2535–2538 (2002)
https://doi.org/10.1116/1.1519863
Low-energy electron microscopy/x-ray magnetic circular dichroism photoemission electron microscopy study of epitaxial MnAs on GaAs
J. Vac. Sci. Technol. B 20, 2539–2542 (2002)
https://doi.org/10.1116/1.1525005
Magnetic dichroisms in absorption and photoemission for magnetic characterization in x-ray photoelectron emission microscopy
J. Vac. Sci. Technol. B 20, 2543–2549 (2002)
https://doi.org/10.1116/1.1523371
PAPERS FROM THE 46TH INTERNATIONAL CONFERENCE ON ELECTRON, ION, AND PHOTON BEAM TECHNOLOGY AND NANOFABRICATION
OPTICAL LITHOGRAPHY
Effect of high numerical aperture lens on lithographic performance in 157 nm lithography
Toshiro Itani; Toshifumi Suganaga; Noriyoshi Kanda; Jae-Hwan Kim; Kunio Watanabe; Julian Cashmore; Malcolm Gower
J. Vac. Sci. Technol. B 20, 2562–2566 (2002)
https://doi.org/10.1116/1.1520577
121.6 nm radiation source for advanced lithography
J. Vac. Sci. Technol. B 20, 2574–2577 (2002)
https://doi.org/10.1116/1.1515302
Optimizing vacuum ultraviolet attenuated phase shift masking materials
J. Vac. Sci. Technol. B 20, 2578–2582 (2002)
https://doi.org/10.1116/1.1526099
Optical imaging properties of dense phase shift feature patterns
J. Vac. Sci. Technol. B 20, 2589–2596 (2002)
https://doi.org/10.1116/1.1520567
Parallel maskless optical lithography for prototyping, low-volume production, and research
J. Vac. Sci. Technol. B 20, 2597–2601 (2002)
https://doi.org/10.1116/1.1526353
Interference pattern formation from an array of coherent laser beams
J. Vac. Sci. Technol. B 20, 2602–2605 (2002)
https://doi.org/10.1116/1.1523396
Simulation of critical dimension error using Monte Carlo method and its experimental verification
J. Vac. Sci. Technol. B 20, 2606–2609 (2002)
https://doi.org/10.1116/1.1524978
Generalized scanning beam interference lithography system for patterning gratings with variable period progressions
J. Vac. Sci. Technol. B 20, 2617–2621 (2002)
https://doi.org/10.1116/1.1520563
ELECTRON BEAM LITHOGRAPHY
Evolution of electron projection optics from variable axis immersion lenses to projection reduction exposure with variable axis immersion lenses
J. Vac. Sci. Technol. B 20, 2627–2633 (2002)
https://doi.org/10.1116/1.1515303
Direct measurement of chromatic aberrations induced by continuous membrane mask
J. Vac. Sci. Technol. B 20, 2634–2639 (2002)
https://doi.org/10.1116/1.1523016
Development of a mask-scan electron beam mask writer
Shinsuke Nishimura; Mitsuko Shimizu; Soichiro Mitsui; Kiminobu Akeno; Hideo Kusakabe; Munehiro Ogasawara; Ryuji Hayashi; Shinji Yanaga; Noboru Kobayashi; Toru Tojo
J. Vac. Sci. Technol. B 20, 2640–2645 (2002)
https://doi.org/10.1116/1.1521738
Digital electrostatic electron-beam array lithography
L. R. Baylor; D. H. Lowndes; M. L. Simpson; C. E. Thomas; M. A. Guillorn; V. I. Merkulov; J. H. Whealton; E. D. Ellis; D. K. Hensley; A. V. Melechko
J. Vac. Sci. Technol. B 20, 2646–2650 (2002)
https://doi.org/10.1116/1.1520559
Maskless lithography using low-energy electron beam: Recent results for proof-of-concept system
T. Nakasugi; A. Ando; R. Inanami; N. Sasaki; T. Ota; O. Nagano; Y. Yamazaki; K. Sugihara; I. Mori; M. Miyoshi; K. Okumura; H. Fujioka
J. Vac. Sci. Technol. B 20, 2651–2656 (2002)
https://doi.org/10.1116/1.1520562
Prototype raster multibeam lithography tool
S. T. Coyle; D. Holmgren; X. Chen; T. Thomas; A. Sagle; J. Maldonado; B. Shamoun; P. Allen; M. Gesley
J. Vac. Sci. Technol. B 20, 2657–2661 (2002)
https://doi.org/10.1116/1.1520574
Distributed axis electron-beam system for lithography and inspection—preliminary experimental results
D. S. Pickard; C. Campbell; T. Crane; L. J. Cruz-Rivera; A. Davenport; W. D. Meisburger; R. F. W. Pease; T. R. Groves
J. Vac. Sci. Technol. B 20, 2662–2665 (2002)
https://doi.org/10.1116/1.1520566
Comprehensive model of electron energy deposition
J. Vac. Sci. Technol. B 20, 2666–2671 (2002)
https://doi.org/10.1116/1.1526633
Development of data conversion system for electron beam projection lithography
Kokoro Kato; Kuninori Nishizawa; Tamae Haruki; Tadao Inoue; Koichi Kamijo; Shinichi Kojima; Hideyuki Minami; Kazuya Okamoto
J. Vac. Sci. Technol. B 20, 2672–2677 (2002)
https://doi.org/10.1116/1.1520571
Testing an electrostatic deflection innovation: Initial experimental results
J. Vac. Sci. Technol. B 20, 2678–2681 (2002)
https://doi.org/10.1116/1.1523020
ION BEAM LITHOGRAPHY
Copper device editing: Strategy for focused ion beam milling of copper
J. David Casey, Jr.; Michael Phaneuf; Clive Chandler; Michael Megorden; Kathryn E. Noll; Richard Schuman; Thomas J. Gannon; Alex Krechmer; David Monforte; Nicholas Antoniou; Neil Bassom; Jian Li; Peter Carleson; Chuong Huynh
J. Vac. Sci. Technol. B 20, 2682–2685 (2002)
https://doi.org/10.1116/1.1521736
Graphitization of Fe-doped amorphous carbon pillars grown by focused-ion-beam-induced chemical-vapor deposition
J. Vac. Sci. Technol. B 20, 2686–2689 (2002)
https://doi.org/10.1116/1.1518022
Conductance-atomic force microscope characterization of focused ion beam chip repair processes
J. Vac. Sci. Technol. B 20, 2690–2694 (2002)
https://doi.org/10.1116/1.1524982
End point of silicon milling using an optical beam induced current signal for controlled access to integrated circuits for backside circuit editing
J. Vac. Sci. Technol. B 20, 2695–2699 (2002)
https://doi.org/10.1116/1.1526665
Improvements in focused ion beam micromachining of interconnect materials
J. Vac. Sci. Technol. B 20, 2700–2704 (2002)
https://doi.org/10.1116/1.1515310
Scattering mask concept for ion-beam nanolithography
J. Vac. Sci. Technol. B 20, 2705–2708 (2002)
https://doi.org/10.1116/1.1520568
Correcting for global space charge by positive ion generation
Trevor Crane; Colin Campbell; Dan Pickard; Liqun Han; Kimitoshi Takahashi; W. Dan Meisburger; R. Fabian Pease
J. Vac. Sci. Technol. B 20, 2709–2712 (2002)
https://doi.org/10.1116/1.1523398
Ion projection sensitized selective Cu electroplating on uncoated -Si
J. Vac. Sci. Technol. B 20, 2713–2716 (2002)
https://doi.org/10.1116/1.1524977
ELECTRON AND ION SOURCE TECHNOLOGY
Improvement in brightness of multicusp-plasma ion source
J. Vac. Sci. Technol. B 20, 2717–2720 (2002)
https://doi.org/10.1116/1.1526694
Role of oxygen in semiconductor negative electron affinity photocathodes
J. Vac. Sci. Technol. B 20, 2721–2725 (2002)
https://doi.org/10.1116/1.1521742
Development of electromagnetic lenses for multielectron beam lithography system
J. Vac. Sci. Technol. B 20, 2726–2729 (2002)
https://doi.org/10.1116/1.1524981
NANO- AND MICROFABRICATION
Nanopipe fabrication using vertically aligned carbon nanofiber templates
A. V. Melechko; T. E. McKnight; M. A. Guillorn; D. W. Austin; B. Ilic; V. I. Merkulov; M. J. Doktycz; D. H. Lowndes; M. L. Simpson
J. Vac. Sci. Technol. B 20, 2730–2733 (2002)
https://doi.org/10.1116/1.1515306
Electrode modification by electron-induced patterning of self-assembled monolayers
J. Vac. Sci. Technol. B 20, 2734–2738 (2002)
https://doi.org/10.1116/1.1523026
Exploiting intermolecular interactions and self-assembly for ultrahigh resolution nanolithography
M. E. Anderson; R. K. Smith; Z. J. Donhauser; A. Hatzor; P. A. Lewis; L. P. Tan; H. Tanaka; M. W. Horn; P. S. Weiss
J. Vac. Sci. Technol. B 20, 2739–2744 (2002)
https://doi.org/10.1116/1.1515301
Localized and directed lateral growth of carbon nanotubes from a porous template
J. Vac. Sci. Technol. B 20, 2745–2748 (2002)
https://doi.org/10.1116/1.1523019
Tunable distributed-feedback laser gratings for telecom applications, manufactured by electron-beam lithography
J. Vac. Sci. Technol. B 20, 2749–2752 (2002)
https://doi.org/10.1116/1.1524979
Optical waveguides with apodized sidewall gratings via spatial-phase-locked electron-beam lithography
J. Vac. Sci. Technol. B 20, 2753–2757 (2002)
https://doi.org/10.1116/1.1521744
Surface diffusion and size evolution of nanostructures in laser-focused atomic deposition
J. Vac. Sci. Technol. B 20, 2758–2762 (2002)
https://doi.org/10.1116/1.1520558
Method for manufacturing nanoscale structures in transition metal layers
J. Vac. Sci. Technol. B 20, 2763–2767 (2002)
https://doi.org/10.1116/1.1526635
Nanostructure fabrication by direct electron-beam writing of nanoparticles
J. Vac. Sci. Technol. B 20, 2768–2772 (2002)
https://doi.org/10.1116/1.1526697
Characteristics of multiwalled carbon nanotube nanobridges fabricated by poly(methylmethacrylate) suspended dispersion
S.-B. Lee; K. B. K. Teo; L. A. W. Robinson; A. S. Teh; M. Chhowalla; D. G. Hasko; G. A. J. Amaratunga; W. I. Milne; H. Ahmed
J. Vac. Sci. Technol. B 20, 2773–2776 (2002)
https://doi.org/10.1116/1.1520569
Nanoscale electron beam lithography and etching for fully depleted silicon-on-insulator devices
J. Vac. Sci. Technol. B 20, 2777–2779 (2002)
https://doi.org/10.1116/1.1518023
Multilevel silicon diffractive optics for terahertz waves
J. Vac. Sci. Technol. B 20, 2780–2783 (2002)
https://doi.org/10.1116/1.1518021
Density estimation for amorphous carbon nanopillars grown by focused ion beam assisted chemical vapor deposition
J. Vac. Sci. Technol. B 20, 2784–2787 (2002)
https://doi.org/10.1116/1.1526699
Process integration of self-assembled polymer templates into silicon nanofabrication
J. Vac. Sci. Technol. B 20, 2788–2792 (2002)
https://doi.org/10.1116/1.1521730
Design and prototyping of a micropropulsion system for microsatellites attitude control and orbit correction
L. Vaccari; M. Altissimo; E. Di Fabrizio; F. De Grandis; G. Manzoni; F. Santoni; F. Graziani; A. Gerardino; F. Perennes; P. Miotti
J. Vac. Sci. Technol. B 20, 2793–2797 (2002)
https://doi.org/10.1116/1.1523015
NANODEVICES
Fabrication and electrical characterization of top gate single-wall carbon nanotube field-effect transistors
J. Vac. Sci. Technol. B 20, 2798–2801 (2002)
https://doi.org/10.1116/1.1521731
Hybrid assembly technique using alternating current field for molecular electronic measurements
J. Vac. Sci. Technol. B 20, 2802–2805 (2002)
https://doi.org/10.1116/1.1523025
Single-electron parametron memory cell
J. Vac. Sci. Technol. B 20, 2806–2809 (2002)
https://doi.org/10.1116/1.1524975
Quantum dot with independently tunable tunneling barriers fabricated using an atomic force microscope
J. Vac. Sci. Technol. B 20, 2810–2813 (2002)
https://doi.org/10.1116/1.1524973
Fabrication of spin-current-induced domain-wall-nucleation device in planar configuration
J. Vac. Sci. Technol. B 20, 2814–2818 (2002)
https://doi.org/10.1116/1.1518014
Single ion implantation for solid state quantum computer development
T. Schenkel; A. Persaud; S. J. Park; J. Meijer; J. R. Kingsley; J. W. McDonald; J. P. Holder; J. Bokor; D. H. Schneider
J. Vac. Sci. Technol. B 20, 2819–2823 (2002)
https://doi.org/10.1116/1.1518016
Single-electron transistor structures based on silicon-on-insulator silicon nanowire fabrication by scanning probe lithography and wet etching
J. Vac. Sci. Technol. B 20, 2824–2828 (2002)
https://doi.org/10.1116/1.1523017
EUV LITHOGRAPHY
Sub-70 nm extreme ultraviolet lithography at the Advanced Light Source static microfield exposure station using the engineering test stand set-2 optic
Patrick Naulleau; Kenneth A. Goldberg; Erik H. Anderson; David Attwood; Phillip Batson; Jeffrey Bokor; Paul Denham; Eric Gullikson; Bruce Harteneck; Brian Hoef; Keith Jackson; Deirdre Olynick; Seno Rekawa; Farhad Salmassi; Ken Blaedel; Henry Chapman; Layton Hale; Paul Mirkarimi; Regina Soufli; Eberhard Spiller; Don Sweeney; John Taylor; Chris Walton; Donna O’Connell; Daniel Tichenor; Charles W. Gwyn; Pei-Yang Yan; Guojing Zhang
J. Vac. Sci. Technol. B 20, 2829–2833 (2002)
https://doi.org/10.1116/1.1524976
Testing extreme ultraviolet optics with visible-light and extreme ultraviolet interferometry
J. Vac. Sci. Technol. B 20, 2834–2839 (2002)
https://doi.org/10.1116/1.1523401
Particle-induced distortion in extreme ultraviolet lithography reticles during exposure chucking
J. Vac. Sci. Technol. B 20, 2840–2843 (2002)
https://doi.org/10.1116/1.1518017
Multiple-beam interference lithography with electron beam written gratings
J. Vac. Sci. Technol. B 20, 2844–2848 (2002)
https://doi.org/10.1116/1.1518015
Lithographic aerial-image contrast measurement in the extreme ultraviolet engineering test stand
J. Vac. Sci. Technol. B 20, 2849–2852 (2002)
https://doi.org/10.1116/1.1526354
NANOIMPRINT LITHOGRAPHY
High-resolution transfer printing on GaAs surfaces using alkane dithiol monolayers
J. Vac. Sci. Technol. B 20, 2853–2856 (2002)
https://doi.org/10.1116/1.1523405
Characterization of and imprint results using indium tin oxide-based step and flash imprint lithography templates
W. J. Dauksher; K. J. Nordquist; D. P. Mancini; D. J. Resnick; J. H. Baker; A. E. Hooper; A. A. Talin; T. C. Bailey; A. M. Lemonds; S. V. Sreenivasan; J. G. Ekerdt; C. G. Willson
J. Vac. Sci. Technol. B 20, 2857–2861 (2002)
https://doi.org/10.1116/1.1520575
Imprint lithography for curved cross-sectional structure using replicated Ni mold
Yoshihiko Hirai; Satoshi Harada; Hisao Kikuta; Yoshio Tanaka; Masato Okano; Satoshi Isaka; Michio Kobayasi
J. Vac. Sci. Technol. B 20, 2867–2871 (2002)
https://doi.org/10.1116/1.1515305
Reversal imprinting by transferring polymer from mold to substrate
J. Vac. Sci. Technol. B 20, 2872–2876 (2002)
https://doi.org/10.1116/1.1523404
High-resolution organic polymer light-emitting pixels fabricated by imprinting technique
J. Vac. Sci. Technol. B 20, 2877–2880 (2002)
https://doi.org/10.1116/1.1515307
Nanoimprinting over topography and multilayer three-dimensional printing
J. Vac. Sci. Technol. B 20, 2881–2886 (2002)
https://doi.org/10.1116/1.1526355
Fabrication of high electron mobility transistors with T-gates by nanoimprint lithography
J. Vac. Sci. Technol. B 20, 2887–2890 (2002)
https://doi.org/10.1116/1.1520564
Prediction of fabrication distortions in step and flash imprint lithography templates
J. Vac. Sci. Technol. B 20, 2891–2895 (2002)
https://doi.org/10.1116/1.1521743
Hydrogen silsesquioxane for direct electron-beam patterning of step and flash imprint lithography templates
D. P. Mancini; K. A. Gehoski; E. Ainley; K. J. Nordquist; D. J. Resnick; T. C. Bailey; S. V. Sreenivasan; J. G. Ekerdt; C. G. Willson
J. Vac. Sci. Technol. B 20, 2896–2901 (2002)
https://doi.org/10.1116/1.1515311
RESISTS
Evaluation of siloxane and polyhedral silsesquioxane copolymers for 157 nm lithography
V. Bellas; E. Tegou; I. Raptis; E. Gogolides; P. Argitis; H. Iatrou; N. Hadjichristidis; E. Sarantopoulou; A. C. Cefalas
J. Vac. Sci. Technol. B 20, 2902–2908 (2002)
https://doi.org/10.1116/1.1526358
Fluoropolymer-based resists for a single-resist process of 157 nm lithography
J. Vac. Sci. Technol. B 20, 2909–2912 (2002)
https://doi.org/10.1116/1.1526359
Electrostatic effects during dissolution of positive tone photoresists
J. Vac. Sci. Technol. B 20, 2913–2919 (2002)
https://doi.org/10.1116/1.1521735
Probing surface and bulk chemistry in resist films using near edge x-ray absorption fine structure
Joseph L. Lenhart; Ronald L. Jones; Eric K. Lin; Christopher L. Soles; Wen-li Wu; Daniel A. Fischer; Sharadha Sambasivan; Dario L. Goldfarb; Marie Angelopoulos
J. Vac. Sci. Technol. B 20, 2920–2926 (2002)
https://doi.org/10.1116/1.1524970
Depth dependence of resist line-edge roughness: Relation to photoacid diffusion length
J. Vac. Sci. Technol. B 20, 2927–2931 (2002)
https://doi.org/10.1116/1.1526638
Delay-time and aging effects on contrast and sensitivity of hydrogen silsesquioxane
J. Vac. Sci. Technol. B 20, 2932–2936 (2002)
https://doi.org/10.1116/1.1524980
Low stress development of poly(methylmethacrylate) for high aspect ratio structures
J. Vac. Sci. Technol. B 20, 2937–2941 (2002)
https://doi.org/10.1116/1.1524971
Photopatternable sol-gel for compound semiconductor processing
J. Vac. Sci. Technol. B 20, 2942–2945 (2002)
https://doi.org/10.1116/1.1521740
Acid catalyst mobility in resist resins
Michael D. Stewart; Hoang Vi Tran; Gerard M. Schmid; Timothy B. Stachowiak; Darren J. Becker; C. Grant Willson
J. Vac. Sci. Technol. B 20, 2946–2952 (2002)
https://doi.org/10.1116/1.1523027
Suppression of secondary electron blur by using Br-containing resists in x-ray lithography
K. Kise; K. Marumoto; H. Watanabe; K. Itoga; T. Kumada; H. Sumitani; T. Kitayama; M. Amemiya; Y. Watanabe; K. Uda
J. Vac. Sci. Technol. B 20, 2953–2957 (2002)
https://doi.org/10.1116/1.1520565
Evaluation of calixarene—derivatives as high-resolution negative tone electron-beam resists
J. Vac. Sci. Technol. B 20, 2958–2961 (2002)
https://doi.org/10.1116/1.1521737
Photospeed considerations for extreme ultraviolet lithography resists
Paul M. Dentinger; Luke L. Hunter; Donna J. O’Connell; Scott Gunn; Daniel Goods; Theodore H. Fedynyshyn; Russell B. Goodman; David K. Astolfi
J. Vac. Sci. Technol. B 20, 2962–2967 (2002)
https://doi.org/10.1116/1.1520561
Partially hydrogenated poly(vinyl phenol) based photoresist for near UV, high aspect ratio micromachining
J. Vac. Sci. Technol. B 20, 2968–2972 (2002)
https://doi.org/10.1116/1.1521741
Thermal-flow techniques for sub-35 nm contact-hole fabrication in electron-beam lithography
J. Vac. Sci. Technol. B 20, 2973–2978 (2002)
https://doi.org/10.1116/1.1526352
X-RAY LITHOGRAPHY
Collimated point-source x-ray nanolithography
R. A. Forber; Z. W. Chen; R. Menon; R. Grygier; S. Mrowka; I. C. E. Turcu; C. J. Gaeta; K. Cassidy; Henry I. Smith
J. Vac. Sci. Technol. B 20, 2984–2990 (2002)
https://doi.org/10.1116/1.1521733
Achieving nanometer-scale, controllable pattern shifts in x-ray lithography using an assembly-tilting technique
J. Vac. Sci. Technol. B 20, 2991–2994 (2002)
https://doi.org/10.1116/1.1523021
MASKS
Dynamic studies of hard pellicle response during exposure scanning
J. Vac. Sci. Technol. B 20, 2995–2999 (2002)
https://doi.org/10.1116/1.1520573
Characterization of extreme ultraviolet lithography mask defects by actinic inspection with broadband extreme ultraviolet illumination
J. Vac. Sci. Technol. B 20, 3000–3005 (2002)
https://doi.org/10.1116/1.1523397
Ultraviolet and direct ultraviolet inspection of next generation lithography reticles
J. Vac. Sci. Technol. B 20, 3006–3009 (2002)
https://doi.org/10.1116/1.1523400
Fabrication of complete 8 in. stencil mask for electron projection lithography
Isao Amemiya; Hiroshi Yamashita; Sakae Nakatsuka; Ikuru Kimura; Mitsuharu Tsukahara; Satoshi Yasumatsu; Osamu Nagarekawa
J. Vac. Sci. Technol. B 20, 3010–3014 (2002)
https://doi.org/10.1116/1.1523024
Complementary mask pattern split for 8 in. stencil masks in electron projection lithography
Hiroshi Yamashita; Kimitoshi Takahashi; Isao Amemiya; Kunio Takeuchi; Hideki Masaoka; Hiroshi Takenaka; Masaki Yamabe
J. Vac. Sci. Technol. B 20, 3015–3020 (2002)
https://doi.org/10.1116/1.1518019
Stencil reticle inspection using a deep ultraviolet microscope
J. Vac. Sci. Technol. B 20, 3025–3028 (2002)
https://doi.org/10.1116/1.1521734
Proximity and heating effects during electron-beam patterning of ultraviolet lithography masks
J. Vac. Sci. Technol. B 20, 3029–3034 (2002)
https://doi.org/10.1116/1.1521745
Efficient phase defect modeling using domain decomposition methods
J. Vac. Sci. Technol. B 20, 3035–3039 (2002)
https://doi.org/10.1116/1.1520560
Fabrication of x-ray masks using evaporated electron sensitive layers for back patterning of membranes
Yousef Awad; Eric Lavallee; Jacques Beauvais; Dominique Drouin; Pan Yang; David Turcotte; Lau Kien Mun
J. Vac. Sci. Technol. B 20, 3040–3043 (2002)
https://doi.org/10.1116/1.1523399
Submicron thermocouple measurements of electron-beam resist heating
J. Vac. Sci. Technol. B 20, 3044–3046 (2002)
https://doi.org/10.1116/1.1523023
Thermal modeling of extreme ultraviolet and step and flash imprint lithography substrates during dry etch
E. J. Weisbrod; W. J. Dauksher; D. Zhang; S. Rauf; P. J. S. Mangat; P. L. G. Ventzek; K. H. Smith; S. B. Clemens; C. J. Martin; R. L. Engelstad
J. Vac. Sci. Technol. B 20, 3047–3052 (2002)
https://doi.org/10.1116/1.1523028
Electron projection lithography mask format layer stress measurement and simulation of pattern transfer distortion
P. L. Reu; C.-F. Chen; R. L. Engelstad; E. G. Lovell; T. Bayer; J. Greschner; S. Kalt; H. Weiss; O. R. Wood, II; R. S. Mackay
J. Vac. Sci. Technol. B 20, 3053–3057 (2002)
https://doi.org/10.1116/1.1521732
Advanced die-to-database inspection technique for embedded attenuated phase shift mask
Kyoji Yamashita; Ikunao Isomura; Hideo Tsuchiya; Toshiyuki Watanabe; Hiromu Inoue; Satoshi Endo; Masakazu Tokita
J. Vac. Sci. Technol. B 20, 3058–3062 (2002)
https://doi.org/10.1116/1.1520570
METROLOGY
Two-dimensional dopant profiling of ultrashallow junctions by electron holography
J. Vac. Sci. Technol. B 20, 3063–3066 (2002)
https://doi.org/10.1116/1.1523022
Liquid immersion lens technology applied to laser voltage probing of 130 nm process technology devices
J. Vac. Sci. Technol. B 20, 3067–3070 (2002)
https://doi.org/10.1116/1.1523406
Beam alignment for scanning beam interference lithography
J. Vac. Sci. Technol. B 20, 3071–3074 (2002)
https://doi.org/10.1116/1.1523402
Precision fringe metrology using a Fresnel zone plate
Chulmin Joo; G. S. Pati; Carl G. Chen; Paul T. Konkola; Ralf K. Heilmann; Mark L. Schattenburg; Alexander Liddle; Erik H. Anderson
J. Vac. Sci. Technol. B 20, 3075–3079 (2002)
https://doi.org/10.1116/1.1523018
Accurate reflectometry for extreme-ultraviolet lithography at the National Institute of Standards and Technology
J. Vac. Sci. Technol. B 20, 3080–3084 (2002)
https://doi.org/10.1116/1.1526351
Technique for preparation of precise wafer cross sections and applications to electron beam lithography of poly(methylmethacrylate) resist
J. Vac. Sci. Technol. B 20, 3085–3088 (2002)
https://doi.org/10.1116/1.1518020
Electromigration in passivated Cu interconnects studied by transmission x-ray microscopy
G. Schneider; M. A. Meyer; G. Denbeaux; E. Anderson; B. Bates; A. Pearson; C. Knöchel; D. Hambach; E. A. Stach; E. Zschech
J. Vac. Sci. Technol. B 20, 3089–3094 (2002)
https://doi.org/10.1116/1.1523403
High precision stress measurement of ion projection lithography mask membranes
José L. Torres; J. C. Wolfe; Paul Ruchhoeft; Timothy F. Kennedy; Joseph Podolski; Karl Kragler; Albrecht Ehrmann; R. Kaesmaier; H. Löschner
J. Vac. Sci. Technol. B 20, 3095–3098 (2002)
https://doi.org/10.1116/1.1515304
Adaptive alignment of photomasks for overlay improvement
J. Vac. Sci. Technol. B 20, 3099–3105 (2002)
https://doi.org/10.1116/1.1515312
DEPOSITION AND ETCHING
Advanced time-multiplexed plasma etching of high aspect ratio silicon structures
J. Vac. Sci. Technol. B 20, 3106–3110 (2002)
https://doi.org/10.1116/1.1518018
Profile simulation of gas chopping based etching processes
J. Vac. Sci. Technol. B 20, 3111–3117 (2002)
https://doi.org/10.1116/1.1520572
Future of plasma etching for microelectronics: Challenges and opportunities
Gottlieb S. Oehrlein, Stephan M. Brandstadter, et al.
Vertical silicon nanowedge formation by repetitive dry and wet anisotropic etching combined with 3D self-aligned sidewall nanopatterning
Yasser Pordeli, Céline Steenge, et al.
Heating of photocathode via field emission and radiofrequency pulsed heating: Implication toward breakdown
Ryo Shinohara, Soumendu Bagchi, et al.