Skip Nav Destination
Issues
November 1999
This content was originally published in
Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena
ISSN 1071-1023
EISSN 1520-8567
In this Issue
REVIEW ARTICLE
Fluorinated amorphous carbon films for low permittivity interlevel dielectrics
J. Vac. Sci. Technol. B 17, 2397–2410 (1999)
https://doi.org/10.1116/1.591102
REGULAR ARTICLES
Scanning tunneling microscopy of passivated Au nanocrystals immobilized on Au(111) surfaces
J. Vac. Sci. Technol. B 17, 2411–2416 (1999)
https://doi.org/10.1116/1.591103
Field induced local oxidation of Ti and Ti/Au structures by an atomic force microscope with diamond coated tips
J. Vac. Sci. Technol. B 17, 2417–2422 (1999)
https://doi.org/10.1116/1.591104
In situ electrochemical atomic force microscopy study on Au(100)/Cd interface in sulfuric acid solution
J. Vac. Sci. Technol. B 17, 2423–2430 (1999)
https://doi.org/10.1116/1.591105
Surface superstructure of -bombarded highly oriented pyrolytic graphite during recrystallization
J. Vac. Sci. Technol. B 17, 2439–2442 (1999)
https://doi.org/10.1116/1.591107
Minimizing damage during focused-ion-beam induced desorption of hydrogen
J. Vac. Sci. Technol. B 17, 2443–2446 (1999)
https://doi.org/10.1116/1.591108
Atomic force microscopy of the topochemical photopolymerization of diolefin crystals
J. Vac. Sci. Technol. B 17, 2447–2451 (1999)
https://doi.org/10.1116/1.591109
Scratching on polystyrene thin film without bumps using atomic force microscopy
J. Vac. Sci. Technol. B 17, 2452–2456 (1999)
https://doi.org/10.1116/1.591110
Near-field polarization states and optical images in transmission mode through different surface structures
J. Vac. Sci. Technol. B 17, 2457–2461 (1999)
https://doi.org/10.1116/1.591111
Nanometric aperture arrays fabricated by wet and dry etching of silicon for near-field optical storage application
J. Vac. Sci. Technol. B 17, 2462–2466 (1999)
https://doi.org/10.1116/1.591112
Ultrahigh density data storage in an organic film with a scanning tunneling microscope
J. Vac. Sci. Technol. B 17, 2467–2470 (1999)
https://doi.org/10.1116/1.591113
Fabrication and magneto-transport and SQUID measurements of submicron spin-valve structures
J. Vac. Sci. Technol. B 17, 2471–2475 (1999)
https://doi.org/10.1116/1.591114
Nanoscale elemental imaging of semiconductor materials using focused ion beam secondary ion mass spectrometry
J. Vac. Sci. Technol. B 17, 2476–2482 (1999)
https://doi.org/10.1116/1.591115
Direct current and pulsed operation of contaminated liquid metal ion sources
J. Vac. Sci. Technol. B 17, 2483–2487 (1999)
https://doi.org/10.1116/1.591116
Comparison of metrology methods for quantifying the line edge roughness of patterned features
J. Vac. Sci. Technol. B 17, 2488–2498 (1999)
https://doi.org/10.1116/1.591117
Focus drilling and attenuated phase shift mask for subwavelength contact window printing using positive and negative resists
J. Vac. Sci. Technol. B 17, 2499–2506 (1999)
https://doi.org/10.1116/1.591118
Electron beam lithography process for T- and -shaped gate fabrication using chemically amplified DUV resists and PMMA
J. Vac. Sci. Technol. B 17, 2507–2511 (1999)
https://doi.org/10.1116/1.591119
Low-energy electron-beam effects on poly(methyl methacrylate) resist films
J. Vac. Sci. Technol. B 17, 2512–2518 (1999)
https://doi.org/10.1116/1.591134
Application of a thin-resist process for KrF imaging to 130 nm device fabrication
J. Vac. Sci. Technol. B 17, 2519–2523 (1999)
https://doi.org/10.1116/1.591120
Improvement of InGaP/GaAs heterointerface quality by controlling flow conditions
J. Vac. Sci. Technol. B 17, 2524–2529 (1999)
https://doi.org/10.1116/1.591121
Influence of growth temperature of InAsP/InP strained multiple quantum wells grown by metalorganic chemical vapor deposition
J. Vac. Sci. Technol. B 17, 2530–2535 (1999)
https://doi.org/10.1116/1.591122
Quantum mechanical tunneling through a biased double-cascaded barrier
J. Vac. Sci. Technol. B 17, 2536–2539 (1999)
https://doi.org/10.1116/1.591123
Temperature-graded InAlAs buffers applied on InGaAs/InAlAs/InP high electron mobility transistor heterostructures
J. Vac. Sci. Technol. B 17, 2540–2544 (1999)
https://doi.org/10.1116/1.591124
Depth-resolved electron-excited nanoscale-luminescence spectroscopy studies of defects near GaN/InGaN/GaN quantum wells
J. Vac. Sci. Technol. B 17, 2545–2552 (1999)
https://doi.org/10.1116/1.591125
Correlation between agglomeration of a thin film and reflow filling in a contact hole for sputtered Al films
J. Vac. Sci. Technol. B 17, 2553–2558 (1999)
https://doi.org/10.1116/1.591126
Low resistance small metal contact for high temperature application
J. S. Kim; W. T. Kang; W. S. Lee; B. Y. Yoo; Y. C. Shin; T. H. Kim; K. Y. Lee; Y. J. Park; J. W. Park
J. Vac. Sci. Technol. B 17, 2559–2564 (1999)
https://doi.org/10.1116/1.591127
Microstructure of concentric ring patterns on Ta/Si(100)
J. Vac. Sci. Technol. B 17, 2565–2569 (1999)
https://doi.org/10.1116/1.591128
Characterization of formation by x-ray photoelectron spectroscopy
J. Vac. Sci. Technol. B 17, 2570–2574 (1999)
https://doi.org/10.1116/1.591129
Tribological behavior of TiC/DLC multilayers prepared on Ti–6Al–4V alloy by plasma-based ion implantation
J. Vac. Sci. Technol. B 17, 2575–2580 (1999)
https://doi.org/10.1116/1.591130
Novel process integration for reduction of subquarter-micron contact resistance
J. Vac. Sci. Technol. B 17, 2581–2583 (1999)
https://doi.org/10.1116/1.591131
Experimental and analytical study of seed layer resistance for copper damascene electroplating
J. Vac. Sci. Technol. B 17, 2584–2595 (1999)
https://doi.org/10.1116/1.591132
Direct epitaxial growth of submicron-patterned SiC structures on Si(001)
J. Vac. Sci. Technol. B 17, 2600–2602 (1999)
https://doi.org/10.1116/1.591029
Finite-element calculations of mechanical stresses induced by water adsorption/desorption in silicate glasses
J. Vac. Sci. Technol. B 17, 2603–2609 (1999)
https://doi.org/10.1116/1.591033
Buried heterostructure complex-coupled distributed feedback 1.55 μm lasers fabricated using dry etching processes and quaternary layer overgrowth
J. Vac. Sci. Technol. B 17, 2622–2625 (1999)
https://doi.org/10.1116/1.591035
Control of wet-etching thickness in the vertical cavity surface emitting laser structure by in situ laser reflectometry
J. Vac. Sci. Technol. B 17, 2626–2629 (1999)
https://doi.org/10.1116/1.591036
Interferometry for end point prediction during plasma etching of various structures in complementary metal–oxide–semiconductor device fabrication
J. Vac. Sci. Technol. B 17, 2630–2637 (1999)
https://doi.org/10.1116/1.591037
Abatement of perfluorocarbons with an inductively coupled plasma reactor
J. Vac. Sci. Technol. B 17, 2638–2643 (1999)
https://doi.org/10.1116/1.591038
Selective plasma etching for contact holes using a fluorine-based chemistry with addition of
J. Vac. Sci. Technol. B 17, 2644–2647 (1999)
https://doi.org/10.1116/1.591039
BRIEF REPORTS AND COMMENTS
Anomalous scanning tunneling microscopy images of GaAs(110) surfaces due to tip-induced band bending
J. Vac. Sci. Technol. B 17, 2651–2652 (1999)
https://doi.org/10.1116/1.591041
Polycarbonate surface modified by argon cluster ion beams
J. Vac. Sci. Technol. B 17, 2653–2655 (1999)
https://doi.org/10.1116/1.591139
RAPID COMMUNICATIONS
Quantitative surface area evaluation of rugged polycrystalline Si plate for dynamic random access memory capacitor by xenon adsorption
J. Vac. Sci. Technol. B 17, 2656–2659 (1999)
https://doi.org/10.1116/1.591042
Trimethylamine: Novel source for low damage reactive ion beam etching of InP
J. Vac. Sci. Technol. B 17, 2660–2663 (1999)
https://doi.org/10.1116/1.591043
Destructive abatement of and via a plasma induced reaction with CaO
J. Vac. Sci. Technol. B 17, 2664–2666 (1999)
https://doi.org/10.1116/1.591044
Formation of low resistance Pt ohmic contacts to p-type GaN using two-step surface treatment
J. Vac. Sci. Technol. B 17, 2667–2670 (1999)
https://doi.org/10.1116/1.591045
Fabrication of submicrometer regular arrays of pillars and helices
J. Vac. Sci. Technol. B 17, 2671–2674 (1999)
https://doi.org/10.1116/1.591046
Effect of Au overlayer on Ni contacts to p-type GaN
J. Vac. Sci. Technol. B 17, 2675–2678 (1999)
https://doi.org/10.1116/1.591135
PAPERS FROM THE 43RD INTERNATIONAL CONFERENCE ON ELECTRON, ION, AND PHOTON BEAM TECHNOLOGY AND NANOFABRICATION
ALIGNMENT, METROLOGY, AND TESTING
Sub-100 nm metrology using interferometrically produced fiducials
J. Vac. Sci. Technol. B 17, 2692–2697 (1999)
https://doi.org/10.1116/1.591047
Novel mask-wafer gap measurement scheme with nanometer-level detectivity
J. Vac. Sci. Technol. B 17, 2698–2702 (1999)
https://doi.org/10.1116/1.591048
A holographic phase-shifting interferometer technique to measure in-plane distortion
J. Vac. Sci. Technol. B 17, 2703–2706 (1999)
https://doi.org/10.1116/1.591049
High-throughput, high-spatial-frequency measurement of critical dimension variations using memory circuits as electrical test structures
J. Vac. Sci. Technol. B 17, 2707–2713 (1999)
https://doi.org/10.1116/1.591050
Thin film stress mapping using an integrated sensor
J. Vac. Sci. Technol. B 17, 2714–2718 (1999)
https://doi.org/10.1116/1.591051
Mechanical characterization of electron-beam resist using micromachined structures
J. Vac. Sci. Technol. B 17, 2719–2722 (1999)
https://doi.org/10.1116/1.591052
Control of localized access to circuitry through the backside using focused ion beam technology
Nicholas Antoniou; Mark Thompson; Jesse Salen; David Casey; Rama R. Goruganthu; Rose Ring; Jeff Birdsley; Glen Gilfeather
J. Vac. Sci. Technol. B 17, 2730–2733 (1999)
https://doi.org/10.1116/1.590926
ETCHING AND DEPOSITION
Fabrication of two-dimensional photonic crystal waveguides for 1.5 μm in silicon by deep anisotropic dry etching
J. Vac. Sci. Technol. B 17, 2734–2739 (1999)
https://doi.org/10.1116/1.591054
High-aspect-ratio nanophotonic components fabricated by reactive ion beam etching
J. Vac. Sci. Technol. B 17, 2740–2744 (1999)
https://doi.org/10.1116/1.591055
plasma passivation of etch induced damage in GaAs and InGaAs with an inductively coupled plasma source
J. Vac. Sci. Technol. B 17, 2745–2749 (1999)
https://doi.org/10.1116/1.591056
Inductively coupled plasma reactive ion etching of for application in laser facet formation
J. Vac. Sci. Technol. B 17, 2750–2754 (1999)
https://doi.org/10.1116/1.591057
reactive ion etching for gate recessing of AlGaN/GaN field-effect transistors
Ching-Hui Chen; Stacia Keller; Elaine D. Haberer; Lidong Zhang; Steven P. DenBaars; Evelyn L. Hu; Umesh K. Mishra; Yifeng Wu
J. Vac. Sci. Technol. B 17, 2755–2758 (1999)
https://doi.org/10.1116/1.591058
High resolution reactive ion etching of GaN and etch-induced effects
J. Vac. Sci. Technol. B 17, 2759–2763 (1999)
https://doi.org/10.1116/1.591059
NANOJET: Nanostructuring via a downstream plasmajet
J. Vac. Sci. Technol. B 17, 2764–2767 (1999)
https://doi.org/10.1116/1.591060
Dry etching with gas chopping without rippled sidewalls
J. Vac. Sci. Technol. B 17, 2768–2771 (1999)
https://doi.org/10.1116/1.591061
Multilayer hexamethyldisiloxane film as bottom antireflective coating for ArF lithography
J. Vac. Sci. Technol. B 17, 2772–2775 (1999)
https://doi.org/10.1116/1.591062
ELECTRON AND ION BEAM SOURCES AND OPTICS
Plasma sources for electrons and ion beams
J. Vac. Sci. Technol. B 17, 2776–2778 (1999)
https://doi.org/10.1116/1.591063
Characteristics of ion beams from a Penning source for focused ion beam applications
J. Vac. Sci. Technol. B 17, 2779–2782 (1999)
https://doi.org/10.1116/1.591064
Maskless micro-ion-beam reduction lithography
J. Vac. Sci. Technol. B 17, 2783–2790 (1999)
https://doi.org/10.1116/1.591065
Rare earth focused ion beam implantation utilizing Er and Pr liquid alloy ion sources
J. Vac. Sci. Technol. B 17, 2791–2794 (1999)
https://doi.org/10.1116/1.591067
Variable axis lens of mixed electrostatic and magnetic fields and its application in electron-beam lithography systems
J. Vac. Sci. Technol. B 17, 2795–2798 (1999)
https://doi.org/10.1116/1.591068
Development of a projection imaging electron microscope with electrostatic lenses
J. Vac. Sci. Technol. B 17, 2799–2802 (1999)
https://doi.org/10.1116/1.591069
Space-charge-induced aberrations
J. Vac. Sci. Technol. B 17, 2803–2807 (1999)
https://doi.org/10.1116/1.591070
Thin-film gated photocathodes for electron-beam lithography
J. Vac. Sci. Technol. B 17, 2814–2818 (1999)
https://doi.org/10.1116/1.591072
Prototype negative electron affinity-based multibeam electron gun for lithography and microscopy
J. Vac. Sci. Technol. B 17, 2819–2822 (1999)
https://doi.org/10.1116/1.591073
Design and implementation of a detector for on-axis electrons for enabling enhanced imaging of topographical structures
Harry Munack; Walter Kögler; Holger Baumgarten; Christian Rübekohl; Pavel Adamec; Ralf Degenhardt; Hans-Peter Feuerbaum; Dieter Winkler
J. Vac. Sci. Technol. B 17, 2827–2829 (1999)
https://doi.org/10.1116/1.591075
Field size versus column shortness in high throughput electron beam lithography
J. Vac. Sci. Technol. B 17, 2830–2835 (1999)
https://doi.org/10.1116/1.591076
Lateral pn-junctions as a novel electron detector for microcolumn systems
J. Vac. Sci. Technol. B 17, 2836–2839 (1999)
https://doi.org/10.1116/1.591078
ELECTRON BEAM LITHOGRAPHY
Projection reduction exposure with variable axis immersion lenses: Next generation lithography
H. C. Pfeiffer; R. S. Dhaliwal; S. D. Golladay; S. K. Doran; M. S. Gordon; T. R. Groves; R. A. Kendall; J. E. Lieberman; P. F. Petric; D. J. Pinckney; R. J. Quickle; C. F. Robinson; J. D. Rockrohr; J. J. Senesi; W. Stickel; E. V. Tressler; A. Tanimoto; T. Yamaguchi; K. Okamoto; K. Suzuki; T. Okino; S. Kawata; K. Morita; S. C. Suziki; H. Shimizu; S. Kojima; G. Varnell; W. T. Novak; D. P. Stumbo; M. Sogard
J. Vac. Sci. Technol. B 17, 2840–2846 (1999)
https://doi.org/10.1116/1.591080
PREVAIL: Operation of the electron optics proof-of-concept system
J. Vac. Sci. Technol. B 17, 2851–2855 (1999)
https://doi.org/10.1116/1.591082
High emittance source for the PREVAIL projection lithography system
J. Vac. Sci. Technol. B 17, 2856–2859 (1999)
https://doi.org/10.1116/1.591083
Proximity effect correction by the GHOST method using a scattering stencil mask
J. Vac. Sci. Technol. B 17, 2860–2863 (1999)
https://doi.org/10.1116/1.591084
Stencil reticle development for electron beam projection system
J. Vac. Sci. Technol. B 17, 2864–2867 (1999)
https://doi.org/10.1116/1.591085
Pattern displacement measurements for Si stencil reticles
J. Vac. Sci. Technol. B 17, 2868–2872 (1999)
https://doi.org/10.1116/1.591086
Characteristics for negative and positive tone resists with direct write electron beam and SCALPEL exposure systems
Mitsuru Sato; L. E. Ocala; A. E. Novembre; Katsumi Ohmori; Kiyoshi Ishikawa; Katsuhiko Katsumata; Toshimasa Nakayama
J. Vac. Sci. Technol. B 17, 2873–2877 (1999)
https://doi.org/10.1116/1.591087
Mechanical and thermal modeling of the SCALPEL mask
J. Vac. Sci. Technol. B 17, 2878–2882 (1999)
https://doi.org/10.1116/1.591088
Finite element analysis of SCALPEL wafer heating
Byungkyu Kim; Roxann L. Engelstad; Edward G. Lovell; Stuart T. Stanton; J. Alexander Liddle; Gregg M. Gallatin
J. Vac. Sci. Technol. B 17, 2883–2887 (1999)
https://doi.org/10.1116/1.591089
Determination of the possible magnitude of the charging effect in a SCALPEL mask membrane
J. Vac. Sci. Technol. B 17, 2888–2892 (1999)
https://doi.org/10.1116/1.591090
Charging and discharging of electron beam resist films
J. Vac. Sci. Technol. B 17, 2893–2896 (1999)
https://doi.org/10.1116/1.591091
Low energy electron-beam proximity projection lithography: Discovery of a missing link
J. Vac. Sci. Technol. B 17, 2897–2902 (1999)
https://doi.org/10.1116/1.591092
New three dimensional simulator for low energy (∼1 keV) electron beam systems
J. Vac. Sci. Technol. B 17, 2903–2906 (1999)
https://doi.org/10.1116/1.591093
EB-X3: New electron-beam x-ray mask writer
Tetsuo Morosawa; Kenichi Saito; Yuji Takeda; Tatsuya Kunioka; Akira Shimizu; Junichi Kato; Tadahito Matsuda; Youichi Kuriyama; Yoshinori Nakayama; Yasuji Matsui
J. Vac. Sci. Technol. B 17, 2907–2911 (1999)
https://doi.org/10.1116/1.591094
Electron optical system for the x-ray mask writer EB-X3
J. Vac. Sci. Technol. B 17, 2912–2916 (1999)
https://doi.org/10.1116/1.591095
XY stage driven by ultrasonic linear motors for the electron-beam x-ray mask writer EB-X3
J. Vac. Sci. Technol. B 17, 2917–2920 (1999)
https://doi.org/10.1116/1.591096
Influence of the mask-scattered electrons in the cell-projection lithography
J. Vac. Sci. Technol. B 17, 2921–2926 (1999)
https://doi.org/10.1116/1.591097
Raster shaped beam pattern generation
J. Vac. Sci. Technol. B 17, 2927–2931 (1999)
https://doi.org/10.1116/1.591098
Chrome on glass mask writing at 75 kV with the IBM EL4+electron-beam system
J. Vac. Sci. Technol. B 17, 2932–2935 (1999)
https://doi.org/10.1116/1.590928
Reduction of long range fogging effect in a high acceleration voltage electron beam mask writing system
Munehiro Ogasawara; Naoharu Shimomura; Jun Takamatsu; Shusuke Yoshitake; Kenji Ooki; Noriaki Nakayamada; Humiyuki Okabe; Toru Tojo; Tadahiro Takigawa
J. Vac. Sci. Technol. B 17, 2936–2939 (1999)
https://doi.org/10.1116/1.590927
Fundamental performance of state-of-the-art proximity effect correction methods
J. Vac. Sci. Technol. B 17, 2940–2944 (1999)
https://doi.org/10.1116/1.590929
EMERGING TECHNOLOGIES
New miniaturized tunneling-based gyro for inertial measurement applications
J. Vac. Sci. Technol. B 17, 2948–2952 (1999)
https://doi.org/10.1116/1.590931
Fabrication of a new broadband waveguide polarizer with a double-layer 190 nm period metal-gratings using nanoimprint lithography
J. Vac. Sci. Technol. B 17, 2957–2960 (1999)
https://doi.org/10.1116/1.590933
Large scale nanolithography using nanoimprint lithography
J. Vac. Sci. Technol. B 17, 2961–2964 (1999)
https://doi.org/10.1116/1.590934
Patterning curved surfaces: Template generation by ion beam proximity lithography and relief transfer by step and flash imprint lithography
P. Ruchhoeft; M. Colburn; B. Choi; H. Nounu; S. Johnson; T. Bailey; S. Damle; M. Stewart; J. Ekerdt; S. V. Sreenivasan; J. C. Wolfe; C. G. Willson
J. Vac. Sci. Technol. B 17, 2965–2969 (1999)
https://doi.org/10.1116/1.590935
EUV LITHOGRAPHY
Demonstration of pattern transfer into sub-100 nm polysilicon line/space features patterned with extreme ultraviolet lithography
J. Vac. Sci. Technol. B 17, 2970–2974 (1999)
https://doi.org/10.1116/1.590936
Extreme ultraviolet lithography at Carl Zeiss: Manufacturing and metrology of aspheric surfaces with angstrom accuracy
J. Vac. Sci. Technol. B 17, 2975–2977 (1999)
https://doi.org/10.1116/1.590937
Extreme ultraviolet interferometric measurements of diffraction-limited optics
J. Vac. Sci. Technol. B 17, 2982–2986 (1999)
https://doi.org/10.1116/1.590939
Interferometric at-wavelength flare characterization of extreme ultraviolet optical systems
J. Vac. Sci. Technol. B 17, 2987–2991 (1999)
https://doi.org/10.1116/1.590940
Image formation in extreme ultraviolet lithography and numerical aperture effects
J. Vac. Sci. Technol. B 17, 2992–2997 (1999)
https://doi.org/10.1116/1.590941
Peak and integrated reflectivity, wavelength and gamma optimization of Mo/Si, and Mo/Be multilayer, multielement optics for extreme ultraviolet lithography
R. Stuik; E. Louis; A. E. Yakshin; P. C. Görts; E. L. G. Maas; F. Bijkerk; D. Schmitz; F. Scholze; G. Ulm; M. Haidl
J. Vac. Sci. Technol. B 17, 2998–3002 (1999)
https://doi.org/10.1116/1.590942
Technique for rapid at-wavelength inspection of extreme ultraviolet mask blanks
S. J. Spector; D. L. White; D. M. Tennant; L. E. Ocola; A. E. Novembre; M. L. Peabody; O. R. Wood, II
J. Vac. Sci. Technol. B 17, 3003–3008 (1999)
https://doi.org/10.1116/1.590943
Actinic detection of sub-100 nm defects on extreme ultraviolet lithography mask blanks
Seongtae Jeong; Lewis Johnson; Seno Rekawa; Chris C. Walton; Shon T. Prisbrey; Edita Tejnil; James H. Underwood; Jeffrey Bokor
J. Vac. Sci. Technol. B 17, 3009–3013 (1999)
https://doi.org/10.1116/1.590944
Nondestructive picosecond-ultrasonic characterization of Mo/Si extreme ultraviolet multilayer reflection coatings
J. Vac. Sci. Technol. B 17, 3014–3018 (1999)
https://doi.org/10.1116/1.590945
Extreme ultraviolet mask defect simulation
J. Vac. Sci. Technol. B 17, 3019–3023 (1999)
https://doi.org/10.1116/1.590946
Method for compensation of extreme-ultraviolet multilayer defects
J. Vac. Sci. Technol. B 17, 3024–3028 (1999)
https://doi.org/10.1116/1.590947
Extreme ultraviolet lithography mask patterning and printability studies with a Ta-based absorber
P. J. S. Mangat; S. D. Hector; M. A. Thompson; W. J. Dauksher; J. Cobb; K. D. Cummings; D. P. Mancini; D. J. Resnick; G. Cardinale; C. Henderson; P. Kearney; M. Wedowski
J. Vac. Sci. Technol. B 17, 3029–3033 (1999)
https://doi.org/10.1116/1.590948
System performance modeling of extreme ultraviolet lithographic thermal issues
J. Vac. Sci. Technol. B 17, 3034–3038 (1999)
https://doi.org/10.1116/1.590949
Characterization of the manufacturability of ultrathin resist
Khanh B. Nguyen; Chris Lyons; Jeff Schefske; Chris Pike; Khoi Phan; Paul King; Harry Levinson; Scott Bell; Uzodinma Okoroanyanwu
J. Vac. Sci. Technol. B 17, 3039–3042 (1999)
https://doi.org/10.1116/1.590950
Synchrotron light as a source for extreme ultraviolet lithography
J. Vac. Sci. Technol. B 17, 3043–3046 (1999)
https://doi.org/10.1116/1.590951
Maskless extreme ultraviolet lithography
Neha Choksi; D. S. Pickard; Mark McCord; R. F. W. Pease; Yashesh Shroff; Yijian Chen; William Oldham; David Markle
J. Vac. Sci. Technol. B 17, 3047–3051 (1999)
https://doi.org/10.1116/1.590952
Nanolithography using extreme ultraviolet lithography interferometry: 19 nm lines and spaces
J. Vac. Sci. Technol. B 17, 3052–3057 (1999)
https://doi.org/10.1116/1.590953
FOCUSED ION BEAM TECHNOLOGY
Damage generation and removal in the focused ion beam micromachining of GaN for photonic applications
J. Vac. Sci. Technol. B 17, 3063–3067 (1999)
https://doi.org/10.1116/1.590955
Thin oxides on passivated silicon irradiated by focused ion beams
J. Vac. Sci. Technol. B 17, 3068–3071 (1999)
https://doi.org/10.1116/1.590956
Carrier profile of the Si-doped layer in GaAs fabricated by a low-energy focused ion beam/molecular beam epitaxy combined system
Junichi Yanagisawa; Takayuki Goto; Takuo Hada; Masaya Nakai; Fujio Wakaya; Yoshihiko Yuba; Kenji Gamo
J. Vac. Sci. Technol. B 17, 3072–3074 (1999)
https://doi.org/10.1116/1.590985
Combined focused ion beam deposition system and scanning probe microscope for metal nanostructure fabrication and characterization
J. Vac. Sci. Technol. B 17, 3075–3079 (1999)
https://doi.org/10.1116/1.590957
Surface diagnostics of dry etched III–V semiconductor samples using focused ion beam and secondary ion mass spectrometry
J. Vac. Sci. Technol. B 17, 3080–3084 (1999)
https://doi.org/10.1116/1.590958
Depth control of focused ion-beam milling from a numerical model of the sputter process
J. Vac. Sci. Technol. B 17, 3085–3090 (1999)
https://doi.org/10.1116/1.590959
ION BEAM LITHOGRAPHY
Ion projection lithography: International development program
J. Vac. Sci. Technol. B 17, 3091–3097 (1999)
https://doi.org/10.1116/1.590960
Experimental results of the stochastic Coulomb interaction in ion projection lithography
P. W. H. de Jager; G. Derksen; B. Mertens; E. Cekan; G. Lammer; H. Vonach; H. Buschbeck; M. Zeininger; C. Horner; H. Löschner; G. Stengl; A. J. Bleeker; J. Benschop; F. Shi; B. Volland; P. Hudek; H. Heerlein; I. W. Rangelow; R. Kaesmaier
J. Vac. Sci. Technol. B 17, 3098–3106 (1999)
https://doi.org/10.1116/1.590961
Comparison of silicon stencil mask distortion measurements with finite element analysis
A. Ehrmann; T. Struck; A. Chalupka; E. Haugeneder; H. Löschner; J. Butschke; M. Irmscher; F. Letzkus; R. Springer; A. Degen; I. W. Rangelow; F. Shi; E. Sossna; B. Volland; R. Engelstad; E. Lovell; R. Tejeda
J. Vac. Sci. Technol. B 17, 3107–3111 (1999)
https://doi.org/10.1116/1.590962
Minimum ion-beam exposure-dose determination for chemically amplified resist from printed dot matrices
W. H. Bruenger; M. Torkler; M. Weiss; H. Löschner; K. Leung; Y. Lee; P. Hudek; I. W. Rangelow; G. Stangl; W. Fallmann
J. Vac. Sci. Technol. B 17, 3119–3121 (1999)
https://doi.org/10.1116/1.590964
Directly sputtered stress-compensated carbon protective layer for silicon stencil masks
P. Hudek; P. Hrkút; M. Držik; I. Kostič; M. Belov; J. Torres; J. Wasson; J. C. Wolfe; A. Degen; I. W. Rangelow; J. Voigt; J. Butschke; F. Letzkus; R. Springer; A. Ehrmann; R. Kaesmaier; K. Kragler; J. Mathuni; E. Haugeneder; H. Löschner
J. Vac. Sci. Technol. B 17, 3127–3131 (1999)
https://doi.org/10.1116/1.590966
Very high-resolution focused ion beam nanolithography improvement: A new three-dimensional patterning capability
J. Vac. Sci. Technol. B 17, 3132–3136 (1999)
https://doi.org/10.1116/1.590967
MASKS
MARS: Femtosecond laser mask advanced repair system in manufacturing
J. Vac. Sci. Technol. B 17, 3137–3143 (1999)
https://doi.org/10.1116/1.590968
Characteristics of chemical vapor deposition diamond films for x-ray mask substrates
J. Vac. Sci. Technol. B 17, 3144–3148 (1999)
https://doi.org/10.1116/1.590969
Highly accurate cell projection mask for applications to sub-130 nm patterning
J. Vac. Sci. Technol. B 17, 3149–3153 (1999)
https://doi.org/10.1116/1.590970
Lateral growth of focused ion beam deposited platinum for stencil mask repair
J. Vac. Sci. Technol. B 17, 3154–3157 (1999)
https://doi.org/10.1116/1.590971
NANOTECHNOLOGY: DEVICES AND FABRICATION
Progress toward a 30 nm silicon metal–oxide–semiconductor gate technology
D. M. Tennant; G. L. Timp; L. E. Ocola; M. Green; T. Sorsch; A. Kornblit; F. Klemens; R. Kleiman; Y. Kim; W. Timp
J. Vac. Sci. Technol. B 17, 3158–3163 (1999)
https://doi.org/10.1116/1.590972
Fabrication of patterned media for high density magnetic storage
C. A. Ross; Henry I. Smith; T. Savas; M. Schattenburg; M. Farhoud; M. Hwang; M. Walsh; M. C. Abraham; R. J. Ram
J. Vac. Sci. Technol. B 17, 3168–3176 (1999)
https://doi.org/10.1116/1.590974
Patterning of planar magnetic nanostructures by ion irradiation
J. Vac. Sci. Technol. B 17, 3177–3181 (1999)
https://doi.org/10.1116/1.590975
Fabrication of 200 nm period nanomagnet arrays using interference lithography and a negative resist
Maya Farhoud; Juan Ferrera; Anthony J. Lochtefeld; T. E. Murphy; Mark L. Schattenburg; J. Carter; C. A. Ross; Henry I. Smith
J. Vac. Sci. Technol. B 17, 3182–3185 (1999)
https://doi.org/10.1116/1.590976
Development of chemically assisted dry etching methods for magnetic device structures
K. B. Jung; H. Cho; K. P. Lee; J. Marburger; F. Sharifi; R. K. Singh; D. Kumar; K. H. Dahmen; S. J. Pearton
J. Vac. Sci. Technol. B 17, 3186–3189 (1999)
https://doi.org/10.1116/1.590977
Perpendicular patterned media in an substrate for magnetic storage
J. Vac. Sci. Technol. B 17, 3190–3196 (1999)
https://doi.org/10.1116/1.590978
Lithographically induced self-assembly of periodic polymer micropillar arrays
J. Vac. Sci. Technol. B 17, 3197–3202 (1999)
https://doi.org/10.1116/1.590979
Patterning of self-assembled monolayers with lateral dimensions of 0.15 μm using advanced lithography
J. Vac. Sci. Technol. B 17, 3203–3207 (1999)
https://doi.org/10.1116/1.590980
Fabrication techniques for grating-based optical devices
J. Vac. Sci. Technol. B 17, 3208–3211 (1999)
https://doi.org/10.1116/1.590981
High aperture diffractive x-ray and extreme ultraviolet optical elements for microscopy and lithography applications
J. Vac. Sci. Technol. B 17, 3212–3216 (1999)
https://doi.org/10.1116/1.590982
High perfection chirped grating phase masks by electron-beam definition
J. Vac. Sci. Technol. B 17, 3217–3221 (1999)
https://doi.org/10.1116/1.590983
Vertical cavity surface emitting lasers incorporating structured mirrors patterned by electron-beam lithography
J. Vac. Sci. Technol. B 17, 3222–3225 (1999)
https://doi.org/10.1116/1.590984
Independently contacted electron–hole gas heterostructures fabricated with focused ion beam doping during molecular beam epitaxial growth
J. Vac. Sci. Technol. B 17, 3226–3230 (1999)
https://doi.org/10.1116/1.590986
Fabrication of tunable antidot structures with submicron airbridges
Y. Feng; A. S. Sachrajda; P. Zawadzki; S. Kolind; M. Buchanan; J. H. Smet; J. Lapointe; P. A. Marshall
J. Vac. Sci. Technol. B 17, 3231–3234 (1999)
https://doi.org/10.1116/1.590987
Fabrication and electron transport in multilayer silicon-insulator-silicon nanopillars
J. Vac. Sci. Technol. B 17, 3235–3238 (1999)
https://doi.org/10.1116/1.591136
Patterning of silicon nanopillars formed with a colloidal gold etch mask
J. Vac. Sci. Technol. B 17, 3239–3243 (1999)
https://doi.org/10.1116/1.590988
Novel method for silicon quantum wire transistor fabrication
J. Vac. Sci. Technol. B 17, 3244–3247 (1999)
https://doi.org/10.1116/1.590989
Characteristics of a free-standing superconducting nanobridge with an integrated heater fabricated using a self-aligned technique
J. Vac. Sci. Technol. B 17, 3248–3251 (1999)
https://doi.org/10.1116/1.590990
Fabrication of high performance microlenses for an integrated capillary channel electrochromatograph with fluorescence detection
J. R. Wendt; M. E. Warren; W. C. Sweatt; C. G. Bailey; C. M. Matzke; D. W. Arnold; A. A. Allerman; T. R. Carter; R. E. Asbill; S. Samora
J. Vac. Sci. Technol. B 17, 3252–3255 (1999)
https://doi.org/10.1116/1.590991
Scanning probe lithography using a cantilever with integrated transistor for on-chip control of the exposing current
J. Vac. Sci. Technol. B 17, 3256–3261 (1999)
https://doi.org/10.1116/1.590992
OPTICAL LITHOGRAPHY
157 nm: Deepest deep-ultraviolet yet
M. Rothschild; T. M. Bloomstein; J. E. Curtin; D. K. Downs; T. H. Fedynyshyn; D. E. Hardy; R. R. Kunz; V. Liberman; J. H. C. Sedlacek; R. S. Uttaro; A. K. Bates; C. Van Peski
J. Vac. Sci. Technol. B 17, 3262–3266 (1999)
https://doi.org/10.1116/1.591137
Outlook for 157 nm resist design
J. Vac. Sci. Technol. B 17, 3267–3272 (1999)
https://doi.org/10.1116/1.590993
Materials issues for optical components and photomasks in 157 nm lithography
V. Liberman; T. M. Bloomstein; M. Rothschild; J. H. C. Sedlacek; R. S. Uttaro; A. K. Bates; C. Van Peski; K. Orvek
J. Vac. Sci. Technol. B 17, 3273–3279 (1999)
https://doi.org/10.1116/1.590994
F-doped and -impregnated synthetic glasses for 157 nm optics
J. Vac. Sci. Technol. B 17, 3280–3284 (1999)
https://doi.org/10.1116/1.590995
Technique for optical characterization of exposure tool imaging performance down to 100 nm
J. Vac. Sci. Technol. B 17, 3285–3290 (1999)
https://doi.org/10.1116/1.590996
Node-connection/quantum phase-shifting mask: Path to below 0.3 μm pitch, proximity effect free, random interconnects and memory patterning
J. Vac. Sci. Technol. B 17, 3291–3295 (1999)
https://doi.org/10.1116/1.590997
Extension of deep-ultraviolet lithography for patterning logic gates using alternating phase shifting masks
J. Vac. Sci. Technol. B 17, 3296–3300 (1999)
https://doi.org/10.1116/1.590998
Lithographic projectors with dark-field illumination
J. Vac. Sci. Technol. B 17, 3301–3305 (1999)
https://doi.org/10.1116/1.590999
Liquid immersion deep-ultraviolet interferometric lithography
J. Vac. Sci. Technol. B 17, 3306–3309 (1999)
https://doi.org/10.1116/1.591000
Nanofabrication with deep-ultraviolet lithography and resolution enhancements
M. Fritze; S. Palmateer; P. Maki; J. Knecht; C. K. Chen; D. Astolfi; S. Cann; S. Denault; K. Krohn; P.W. Wyatt
J. Vac. Sci. Technol. B 17, 3310–3313 (1999)
https://doi.org/10.1116/1.591001
Near-field distribution in light-coupling masks for contact lithography
J. Vac. Sci. Technol. B 17, 3314–3317 (1999)
https://doi.org/10.1116/1.591002
Determination of high-order lens aberration using phase/amplitude linear algebra
J. Vac. Sci. Technol. B 17, 3318–3321 (1999)
https://doi.org/10.1116/1.591003
RESIST TECHNOLOGY
High contrast chemically amplified 193 nm resist for gigabit dynamic random access memory generation
J. Vac. Sci. Technol. B 17, 3322–3325 (1999)
https://doi.org/10.1116/1.591004
Study of bilayer silylation process for 193 nm lithography using chemically amplified resist
J. Vac. Sci. Technol. B 17, 3326–3329 (1999)
https://doi.org/10.1116/1.591005
Outgassing of organic vapors from 193 nm photoresists: Impact on atmospheric purity near the lens optics
J. Vac. Sci. Technol. B 17, 3330–3334 (1999)
https://doi.org/10.1116/1.591006
Study of resolution limits due to intrinsic bias in chemically amplified photoresists
Sergei V. Postnikov; Michael D. Stewart; Hoang Vi Tran; Mark A. Nierode; David R. Medeiros; T. Cao; Jeffrey Byers; Stephen E. Webber; C. Grant Wilson
J. Vac. Sci. Technol. B 17, 3335–3338 (1999)
https://doi.org/10.1116/1.591007
Moving boundary transport model for acid diffusion in chemically amplified resists
J. Vac. Sci. Technol. B 17, 3339–3344 (1999)
https://doi.org/10.1116/1.591008
Study of acid diffusion in a positive tone chemically amplified resist using an on-wafer imaging technique
J. Vac. Sci. Technol. B 17, 3345–3350 (1999)
https://doi.org/10.1116/1.591009
Study of acid diffusion in resist near the glass transition temperature
J. Vac. Sci. Technol. B 17, 3351–3355 (1999)
https://doi.org/10.1116/1.591010
Measuring acid generation efficiency in chemically amplified resists with all three beams
Charles R. Szmanda; Robert L. Brainard; Joseph F. Mackevich; Akira Awaji; Tsutomu Tanaka; Yutaka Yamada; John Bohland; Serge Tedesco; Bernard Dal’Zotto; Wilhelm Bruenger; Michael Torkler; Wolfgang Fallmann; Hans Loeschner; Rainer Kaesmaier; Paul M. Nealey; Adam R. Pawloski
J. Vac. Sci. Technol. B 17, 3356–3361 (1999)
https://doi.org/10.1116/1.591011
Relationship between the slope of the HD curve and the fundamental resist process contrast
J. Vac. Sci. Technol. B 17, 3362–3366 (1999)
https://doi.org/10.1116/1.591138
Simulation of roughness in chemically amplified resists using percolation theory
J. Vac. Sci. Technol. B 17, 3367–3370 (1999)
https://doi.org/10.1116/1.591012
Applications of molecular modeling in nanolithography
J. Vac. Sci. Technol. B 17, 3371–3378 (1999)
https://doi.org/10.1116/1.591013
Comparison of the lithographic properties of positive resists upon exposure to deep- and extreme-ultraviolet radiation
Robert L. Brainard; Craig Henderson; Jonathan Cobb; Veena Rao; Joseph F. Mackevich; Uzodinma Okoroanyanwu; Scott Gunn; Janet Chambers; Susan Connolly
J. Vac. Sci. Technol. B 17, 3384–3389 (1999)
https://doi.org/10.1116/1.591015
Application of 4-methyl-1-acetoxycalix[6]arene resist to complementary metal–oxide–semiconductor gate processing
J. Vac. Sci. Technol. B 17, 3394–3397 (1999)
https://doi.org/10.1116/1.591017
Novel antireflective layer using polysilane for deep ultraviolet lithography
J. Vac. Sci. Technol. B 17, 3398–3401 (1999)
https://doi.org/10.1116/1.591018
X-RAY LITHOGRAPHY
Progress in x-ray mask technology at NTT
J. Vac. Sci. Technol. B 17, 3402–3406 (1999)
https://doi.org/10.1116/1.591019
Thermal compensation of x-ray mask distortions
J. Vac. Sci. Technol. B 17, 3407–3410 (1999)
https://doi.org/10.1116/1.591020
Magnification correction by changing wafer temperature in proximity x-ray lithography
J. Vac. Sci. Technol. B 17, 3411–3414 (1999)
https://doi.org/10.1116/1.591021
Critical-dimension control for 100 nm patterns in x-ray lithography
J. Vac. Sci. Technol. B 17, 3415–3419 (1999)
https://doi.org/10.1116/1.591022
Direct measurement of x-ray mask sidewall roughness and its contribution to the overall sidewall roughness of chemically amplified resist features
J. Vac. Sci. Technol. B 17, 3420–3425 (1999)
https://doi.org/10.1116/1.591023
Extension of x-ray lithography to 50 nm with a harder spectrum
J. Vac. Sci. Technol. B 17, 3426–3432 (1999)
https://doi.org/10.1116/1.591024
Pattern resolution of an x-ray beamline with a wide exposure field
J. Vac. Sci. Technol. B 17, 3433–3438 (1999)
https://doi.org/10.1116/1.591025
X-ray multilevel zone plate fabrication by means of electron-beam lithography: Toward high-efficiency performances
J. Vac. Sci. Technol. B 17, 3439–3443 (1999)
https://doi.org/10.1116/1.591026
Fabrication of three-dimensional microstructures by high resolution x-ray lithography
J. Vac. Sci. Technol. B 17, 3444–3448 (1999)
https://doi.org/10.1116/1.591027
Maskless, parallel patterning with zone-plate array lithography
J. Vac. Sci. Technol. B 17, 3449–3452 (1999)
https://doi.org/10.1116/1.591028
Future of plasma etching for microelectronics: Challenges and opportunities
Gottlieb S. Oehrlein, Stephan M. Brandstadter, et al.
Transferable GeSn ribbon photodetectors for high-speed short-wave infrared photonic applications
Haochen Zhao, Suho Park, et al.
Machine learning driven measurement of high-aspect-ratio nanostructures using Mueller matrix spectroscopic ellipsometry
Shiva Mudide, Nick Keller, et al.