Skip Nav Destination
Issues
November 1997
This content was originally published in
Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena
ISSN 1071-1023
EISSN 1520-8567
Electrostatic tip-surface interaction in scanning force microscopy: A convenient expression useful for arbitrary tip and sample geometries
J. Vac. Sci. Technol. B 15, 1853–1860 (1997)
https://doi.org/10.1116/1.589567
Local work function for Cu(111)–Au surface studied by scanning tunneling microscopy
J. Vac. Sci. Technol. B 15, 1861–1864 (1997)
https://doi.org/10.1116/1.589568
In situ scanning force microscopy study of TiN layers in sulphuric acid
J. Vac. Sci. Technol. B 15, 1865–1870 (1997)
https://doi.org/10.1116/1.589569
Scanning thermal microscope tip-induced chemical reaction on solid organometallic compound thin films
J. Vac. Sci. Technol. B 15, 1871–1875 (1997)
https://doi.org/10.1116/1.589570
Tunneling spectroscopy on semiconductors with a low surface state density
J. Vac. Sci. Technol. B 15, 1876–1883 (1997)
https://doi.org/10.1116/1.589571
Dielectric breakdown of silicon oxide studied by scanning probe microscopy
J. Vac. Sci. Technol. B 15, 1884–1888 (1997)
https://doi.org/10.1116/1.589572
Synthesis, structure, and optical properties of nanometer-sized capped by anionic surfactant
J. Vac. Sci. Technol. B 15, 1889–1892 (1997)
https://doi.org/10.1116/1.589573
Evolution of surface morphology in the initial stage of nitridation of the Si(111)- surface by nitrogen ions
J. Vac. Sci. Technol. B 15, 1893–1898 (1997)
https://doi.org/10.1116/1.589574
Fourier transform infrared study of porous silicon dipped into solution
J. Vac. Sci. Technol. B 15, 1899–1901 (1997)
https://doi.org/10.1116/1.589575
Determination of trace metallic impurities on 200-mm silicon wafers by time-of-flight secondary-ion-mass spectroscopy
J. Vac. Sci. Technol. B 15, 1908–1912 (1997)
https://doi.org/10.1116/1.589577
Degradation measurements using fully processed test transistors in high density plasma reactors for failure analysis
J. Vac. Sci. Technol. B 15, 1913–1918 (1997)
https://doi.org/10.1116/1.589578
Low temperature deposition of using or distributed electron cyclotron resonance microwave plasma
J. Vac. Sci. Technol. B 15, 1919–1926 (1997)
https://doi.org/10.1116/1.589579
Effects of cleaning and postoxidation annealing on thin oxides
J. Vac. Sci. Technol. B 15, 1927–1935 (1997)
https://doi.org/10.1116/1.589580
Integration of unit processes in a shallow trench isolation module for a 0.25 μm complementary metal–oxide semiconductor technology
A. Chatterjee; I. Ali; K. Joyner; D. Mercer; J. Kuehne; M. Mason; A. Esquivel; D. Rogers; S. O’Brien; P. Mei; S. Murtaza; S. P. Kwok; K. Taylor; S. Nag; G. Hames; M. Hanratty; H. Marchman; S. Ashburn; I.-C. Chen
J. Vac. Sci. Technol. B 15, 1936–1942 (1997)
https://doi.org/10.1116/1.589581
Correlated electrical and optical measurements of firing semiconductor bridges
J. Vac. Sci. Technol. B 15, 1943–1948 (1997)
https://doi.org/10.1116/1.589582
Generation of subquarter-micron resist structures using optical interference lithography and image reversal
J. Vac. Sci. Technol. B 15, 1949–1953 (1997)
https://doi.org/10.1116/1.589583
Investigation of the proximity effect in amorphous electron-beam resists
J. Vac. Sci. Technol. B 15, 1954–1960 (1997)
https://doi.org/10.1116/1.589584
Air-bridges, air-ramps, planarization, and encapsulation using pyrolytic photoresist in the fabrication of three-dimensional microstructures
G. A. Porkolab; Y. J. Chen; Seyed Ahmad Tabatabaei; Sambhu Agarwala; F. G. Johnson; Oliver King; M. Dagenais; Russell E. Frizzell; W. T. Beard, Jr.; D. R. Stone
J. Vac. Sci. Technol. B 15, 1961–1965 (1997)
https://doi.org/10.1116/1.589585
Polarization-dependent contrast in near-field optical microscopy
J. Vac. Sci. Technol. B 15, 1966–1970 (1997)
https://doi.org/10.1116/1.589586
Effect of rapid thermal annealing on the microstructure and electrical characteristics of Au/Ni/Au/Ge/Ni multilayers deposited on -type InGaAs
J. Vac. Sci. Technol. B 15, 1983–1986 (1997)
https://doi.org/10.1116/1.589588
Bias-temperature stability of the metal-oxide-semiconductor capacitors
J. Vac. Sci. Technol. B 15, 1987–1989 (1997)
https://doi.org/10.1116/1.589589
Characterization of AL–Y alloy thin films deposited by direct current magnetron sputtering
J. Vac. Sci. Technol. B 15, 1990–1994 (1997)
https://doi.org/10.1116/1.589590
Effect of the in situ thermal treatments on Ir/n-type Si (111) Schottky contacts
J. Vac. Sci. Technol. B 15, 2001–2010 (1997)
https://doi.org/10.1116/1.589592
Influence of AlSiTi grain boundaries on the plasma etch rate
J. Vac. Sci. Technol. B 15, 2011–2015 (1997)
https://doi.org/10.1116/1.589593
Schottky contacts on reactive-ion etched InGaP
J. Vac. Sci. Technol. B 15, 2016–2020 (1997)
https://doi.org/10.1116/1.589594
Molecular beam epitaxy growth of InP P-HEMTs with enhancement conductivity using an intentional nonlattice-matched buffer layer
J. Vac. Sci. Technol. B 15, 2021–2025 (1997)
https://doi.org/10.1116/1.589218
Structure and interfacial stability of (111)-oriented InAsSb/InAs strained-layer multiquantum well structures
J. Vac. Sci. Technol. B 15, 2026–2030 (1997)
https://doi.org/10.1116/1.589217
Fabrication of high-aspect-ratio InP-based vertical-cavity laser mirrors using reactive ion etching
J. Vac. Sci. Technol. B 15, 2031–2036 (1997)
https://doi.org/10.1116/1.589219
Surface modification of InP by diffraction-patterning utilizing laser dry etching
J. Vac. Sci. Technol. B 15, 2046–2051 (1997)
https://doi.org/10.1116/1.589221
Compression in transconductance at low gate voltages in submicron GaAs metal semiconductor field-effect transistors
J. Vac. Sci. Technol. B 15, 2052–2056 (1997)
https://doi.org/10.1116/1.589222
Role of rate window, transient time, and reverse bias field on the deep levels of LT-GaAs by field effect transient spectroscopy
J. Vac. Sci. Technol. B 15, 2057–2066 (1997)
https://doi.org/10.1116/1.589223
Electrical characterization of diamond and graphite coated Mo field emitters
J. Vac. Sci. Technol. B 15, 2067–2071 (1997)
https://doi.org/10.1116/1.589224
Field emission characteristics of diamondlike carbon films synthesized by pulsed laser deposition process using a Au-intermediate layer
J. Vac. Sci. Technol. B 15, 2072–2076 (1997)
https://doi.org/10.1116/1.589225
Silicon nanocolloids prepared by a newly designed dc sputtering apparatus
J. Vac. Sci. Technol. B 15, 2077–2078 (1997)
https://doi.org/10.1116/1.589226
Nanofabrication using neutral atomic beams
J. H. Thywissen; K. S. Johnson; R. Younkin; N. H. Dekker; K. K. Berggren; A. P. Chu; M. Prentiss; S. A. Lee
J. Vac. Sci. Technol. B 15, 2093–2100 (1997)
https://doi.org/10.1116/1.589227
Lithography with 157 nm lasers
J. Vac. Sci. Technol. B 15, 2112–2116 (1997)
https://doi.org/10.1116/1.589230
Scattering with angular limitation projection electron beam lithography for suboptical lithography
J. Vac. Sci. Technol. B 15, 2130–2135 (1997)
https://doi.org/10.1116/1.589339
Two-dimensional stage self-calibration: Role of symmetry and invariant sets of points
J. Vac. Sci. Technol. B 15, 2139–2145 (1997)
https://doi.org/10.1116/1.589341
Neural network model for global alignment incorporating wafer and stage distortion
J. Vac. Sci. Technol. B 15, 2146–2150 (1997)
https://doi.org/10.1116/1.589342
Scanning electron microscope matching and calibration for critical dimensional metrology
J. Vac. Sci. Technol. B 15, 2155–2161 (1997)
https://doi.org/10.1116/1.589344
Photon tunneling microscopy of latent resist images
J. A. Liddle; A. T. Fernandez; R. Cirelli; M. M. Mkrtchyan; A. E. Novembre; M. L. Peabody; G. P. Watson
J. Vac. Sci. Technol. B 15, 2162–2166 (1997)
https://doi.org/10.1116/1.589345
Application of transmission electron detection to SCALPEL mask metrology
R. C. Farrow; M. T. Postek; W. J. Keery; S. N. Jones; J. R. Lowney; M. Blakey; L. A. Fetter; J. E. Griffith; J. A. Liddle; L. C. Hopkins; H. A. Huggins; M. Peabody; A. Novembre
J. Vac. Sci. Technol. B 15, 2167–2172 (1997)
https://doi.org/10.1116/1.589346
Obtaining a physical two-dimensional Cartesian reference
J. Vac. Sci. Technol. B 15, 2173–2176 (1997)
https://doi.org/10.1116/1.589347
Evaluation of the long-term stability of critical-dimension measurement scanning electron microscopes using a calibration standard
J. Vac. Sci. Technol. B 15, 2177–2180 (1997)
https://doi.org/10.1116/1.589348
Contamination reduction in low voltage electron-beam microscopy for dimensional metrology
J. Vac. Sci. Technol. B 15, 2181–2184 (1997)
https://doi.org/10.1116/1.589349
Wall angle measurement with a scanning probe microscope employing a one-dimensional force sensor
J. E. Griffith; L. C. Hopkins; C. E. Bryson; A. Berghaus; E. J. Snyder; J. J. Plombon; L. A. Vasilyev; M. Hecht; J. B. Bindell
J. Vac. Sci. Technol. B 15, 2189–2192 (1997)
https://doi.org/10.1116/1.589611
Design and characterization of a high numerical aperture lens system for scanned laser lithography
J. Vac. Sci. Technol. B 15, 2193–2196 (1997)
https://doi.org/10.1116/1.589612
Metrology of scattering with angular limitation projection electron lithography masks
J. A. Liddle; M. I. Blakey; T. Saunders; R. C. Farrow; L. A. Fetter; C. S. Knurek; R. Kasica; A. E. Novembre; M. L. Peabody; D. M. Tennant; D. L. Windt; M. Postek
J. Vac. Sci. Technol. B 15, 2197–2203 (1997)
https://doi.org/10.1116/1.589613
Design and implementation of a real-time hierarchical parallel postprocessor for 100 keV electron beam lithography
J. Vac. Sci. Technol. B 15, 2204–2208 (1997)
https://doi.org/10.1116/1.589614
Ion absorbing stencil mask coatings for ion beam lithography
J. R. Wasson; J. L. Torres; H. R. Rampersad; J. C. Wolfe; P. Ruchhoeft; Martin Herbordt; H. Löschner
J. Vac. Sci. Technol. B 15, 2214–2217 (1997)
https://doi.org/10.1116/1.589616
Novel technique for improving pattern placement in membrane mask making
J. Vac. Sci. Technol. B 15, 2218–2223 (1997)
https://doi.org/10.1116/1.589617
Conductive polyaniline: Applications in x-ray mask making
J. Vac. Sci. Technol. B 15, 2224–2227 (1997)
https://doi.org/10.1116/1.589618
Low-stress sputtered chromium–nitride hardmasks for x-ray mask fabrication
J. Vac. Sci. Technol. B 15, 2228–2231 (1997)
https://doi.org/10.1116/1.589619
Uniform low stress oxynitride films for application as hardmasks on x-ray masks
W. J. Dauksher; D. J. Resnick; S. M. Smith; S. V. Pendharkar; H. G. Tompkins; K. D. Cummings; P. A. Seese; P. J. S. Mangat; J. A. Chan
J. Vac. Sci. Technol. B 15, 2232–2237 (1997)
https://doi.org/10.1116/1.589620
Plasma reactive ion etching of 193 nm attenuated phase shift mask materials
J. Vac. Sci. Technol. B 15, 2259–2262 (1997)
https://doi.org/10.1116/1.589625
Effects of accelerating voltage and pattern size on electron scattering by electron-beam mask
J. Vac. Sci. Technol. B 15, 2263–2268 (1997)
https://doi.org/10.1116/1.589626
Resistless electron beam lithography process for the fabrication of sub-50 nm silicide structures
J. Vac. Sci. Technol. B 15, 2269–2273 (1997)
https://doi.org/10.1116/1.589627
Process development of sub-0.5 μm nonvolatile magnetoresistive random access memory arrays
J. Vac. Sci. Technol. B 15, 2274–2278 (1997)
https://doi.org/10.1116/1.589628
Electron optical system for the x-ray mask writer EB-X2
J. Vac. Sci. Technol. B 15, 2279–2283 (1997)
https://doi.org/10.1116/1.589629
Performance of Zr/O/W Schottky emitters at reduced temperatures
J. Vac. Sci. Technol. B 15, 2284–2288 (1997)
https://doi.org/10.1116/1.589630
Blanked aperture array for parallel electron beam lithography
J. Vac. Sci. Technol. B 15, 2289–2292 (1997)
https://doi.org/10.1116/1.589631
Spatial-phase-locked electron-beam lithography with a delay-locked loop
J. Vac. Sci. Technol. B 15, 2293–2297 (1997)
https://doi.org/10.1116/1.589632
Energy dependence of proximity parameters investigated by fitting before measurement tests
J. Vac. Sci. Technol. B 15, 2298–2302 (1997)
https://doi.org/10.1116/1.589633
Dose, shape, and hybrid modifications for PYRAMID in electron beam proximity effect correction
J. Vac. Sci. Technol. B 15, 2303–2308 (1997)
https://doi.org/10.1116/1.589634
Dose modification proximity effect correction scheme with inherent forward scattering corrections
J. Vac. Sci. Technol. B 15, 2309–2312 (1997)
https://doi.org/10.1116/1.589635
Deep submicron resist profile simulation and characterization of electron beam lithography system for cell projection and direct writing
J. Vac. Sci. Technol. B 15, 2313–2317 (1997)
https://doi.org/10.1116/1.589636
Atomic force microscope studies of nanolithographic exposure and development of polymethylmethacrylate
J. Vac. Sci. Technol. B 15, 2318–2322 (1997)
https://doi.org/10.1116/1.589637
Resist processes for low-energy electron-beam lithography
J. Vac. Sci. Technol. B 15, 2323–2326 (1997)
https://doi.org/10.1116/1.589638
Minimum emission current of liquid metal ion sources
J. Vac. Sci. Technol. B 15, 2332–2336 (1997)
https://doi.org/10.1116/1.589640
Fabrication of in situ Ohmic contacts patterned in three dimensions using a focused ion beam during molecular beam epitaxial growth
J. Vac. Sci. Technol. B 15, 2337–2341 (1997)
https://doi.org/10.1116/1.589641
N channel metal–oxide–semiconductor field-effect transistor with 0.15 m gate delineated by focused ion beam lithography
J. Vac. Sci. Technol. B 15, 2342–2345 (1997)
https://doi.org/10.1116/1.589642
Focused ion beam sputter yield change as a function of scan speed
J. Vac. Sci. Technol. B 15, 2346–2349 (1997)
https://doi.org/10.1116/1.589643
Focused ion beam milling: Depth control for three-dimensional microfabrication
J. Vac. Sci. Technol. B 15, 2350–2354 (1997)
https://doi.org/10.1116/1.589644
Chemically amplified deep ultraviolet resist for positive tone ion exposure
J. Vac. Sci. Technol. B 15, 2355–2357 (1997)
https://doi.org/10.1116/1.589645
Printing halftone photographic images on diamond by focused silicon ion implantation
J. Vac. Sci. Technol. B 15, 2358–2361 (1997)
https://doi.org/10.1116/1.589646
Nanoparticle impact micromachining
J. Vac. Sci. Technol. B 15, 2362–2364 (1997)
https://doi.org/10.1116/1.589647
Development of a high brightness gas field ion source
J. Vac. Sci. Technol. B 15, 2365–2368 (1997)
https://doi.org/10.1116/1.589648
Stochastic Coulomb interactions in ion projection lithography systems with aberration-broadened crossover
J. Vac. Sci. Technol. B 15, 2369–2372 (1997)
https://doi.org/10.1116/1.589649
Optimization of experimental operating parameters for very high resolution focused ion beam applications
J. Vac. Sci. Technol. B 15, 2373–2378 (1997)
https://doi.org/10.1116/1.589650
Fabrication of high-temperature superconductor Josephson junctions by focused ion beam milling
C.-H. Chen; Z. Trajanovic; Z. W. Dong; C. J. Lobb; T. Venkatesan; K. Edinger; J. Orloff; J. Melngailis
J. Vac. Sci. Technol. B 15, 2379–2381 (1997)
https://doi.org/10.1116/1.589651
Programmable aperture plate for maskless high-throughput nanolithography
J. Vac. Sci. Technol. B 15, 2382–2386 (1997)
https://doi.org/10.1116/1.589652
Data analysis methods for evaluating lithographic performance
J. Vac. Sci. Technol. B 15, 2387–2393 (1997)
https://doi.org/10.1116/1.589653
Algorithm for shifter placement with flexible order prioritizing
J. Vac. Sci. Technol. B 15, 2394–2398 (1997)
https://doi.org/10.1116/1.589654
Characterizing partial coherence uniformity in a deep ultraviolet step and repeat tool
J. Vac. Sci. Technol. B 15, 2399–2403 (1997)
https://doi.org/10.1116/1.589655
Photolithography at 0.10 and 0.13 μm using ArF excimer laser lithography in combination with resolution enhancement techniques
J. Vac. Sci. Technol. B 15, 2404–2411 (1997)
https://doi.org/10.1116/1.589656
Optimal coherent decompositions for radially symmetric optical systems
J. Vac. Sci. Technol. B 15, 2412–2416 (1997)
https://doi.org/10.1116/1.589657
Towards 280 nm -line random logic lithography with off-axis illumination and optical proximity correction
J. Vac. Sci. Technol. B 15, 2422–2425 (1997)
https://doi.org/10.1116/1.589659
Optical proximity correction for intermediate-pitch features using sub-resolution scattering bars
J. Vac. Sci. Technol. B 15, 2426–2433 (1997)
https://doi.org/10.1116/1.589660
Viability of conventional KrF imaging for 150 nm lithography
J. Vac. Sci. Technol. B 15, 2434–2438 (1997)
https://doi.org/10.1116/1.589661
Methods for fabricating arrays of holes using interference lithography
J. Vac. Sci. Technol. B 15, 2439–2443 (1997)
https://doi.org/10.1116/1.589662
Investigation into excimer laser radiation damage of deep ultraviolet optical phase masking films
J. Vac. Sci. Technol. B 15, 2444–2447 (1997)
https://doi.org/10.1116/1.589663
Use of attenuated phase masks in extreme ultraviolet lithography
O. R. Wood, II; D. L. White; J. E. Bjorkholm; L. E. Fetter; D. M. Tennant; A. A. MacDowell; B. LaFontaine; G. D. Kubiak
J. Vac. Sci. Technol. B 15, 2448–2451 (1997)
https://doi.org/10.1116/1.589664
Mask blanks for extreme ultraviolet lithography: Ion beam sputter deposition of low defect density Mo/Si multilayers
J. Vac. Sci. Technol. B 15, 2452–2454 (1997)
https://doi.org/10.1116/1.589665
At-wavelength interferometry for extreme ultraviolet lithography
Edita Tejnil; Kenneth A. Goldberg; SangHun Lee; Hector Medecki; Phillip J. Batson; Paul E. Denham; Alastair A. MacDowell; Jeffrey Bokor; David Attwood
J. Vac. Sci. Technol. B 15, 2455–2461 (1997)
https://doi.org/10.1116/1.589666
At-wavelength characterization of an extreme ultraviolet camera from low to mid-spatial frequencies with a compact laser plasma source
J. Vac. Sci. Technol. B 15, 2462–2466 (1997)
https://doi.org/10.1116/1.589667
Evaluation of alignment accuracy in processed wafers and SiC masks on a scattered-light alignment system for x-ray aligners
J. Vac. Sci. Technol. B 15, 2471–2475 (1997)
https://doi.org/10.1116/1.589669
Overlay performance of 180 nm ground rule generation x-ray lithography aligner
J. Vac. Sci. Technol. B 15, 2476–2482 (1997)
https://doi.org/10.1116/1.589670
Origin of stress distribution in sputtered x-ray absorber film
J. Vac. Sci. Technol. B 15, 2483–2488 (1997)
https://doi.org/10.1116/1.589671
Sub-20 nm x-ray nanolithography using conventional mask technologies on monochromatized synchrotron radiation
G. Simon; A. M. Haghiri-Gosnet; J. Bourneix; D. Decanini; Y. Chen; F. Rousseaux; H. Launois; B. Vidal
J. Vac. Sci. Technol. B 15, 2489–2494 (1997)
https://doi.org/10.1116/1.589672
X-ray micro- and nanofabrication using a laser–plasma source at 1 nm wavelength
I. C. E. Turcu; R. M. Allot; C. M. Mann; C. Reeves; I. N. Ross; N. Lisi; B. J. Maddison; S. W. Moon; P. Prewett; J. T. M. Stevenson; A. W. S. Ross; A. M. Gundlach; B. Koek; P. Mitchell; P. Anastasi; C. McCoard; N. S. Kim
J. Vac. Sci. Technol. B 15, 2495–2502 (1997)
https://doi.org/10.1116/1.589673
Novel illumination system of synchrotron radiation stepper with full field exposure method
J. Vac. Sci. Technol. B 15, 2503–2508 (1997)
https://doi.org/10.1116/1.589674
Direct measurement of the effect of substrate photoelectrons in x-ray nanolithography
J. Vac. Sci. Technol. B 15, 2509–2513 (1997)
https://doi.org/10.1116/1.589675
X-ray fabrication of nonorthogonal structures using “surface” masks
J. Vac. Sci. Technol. B 15, 2514–2516 (1997)
https://doi.org/10.1116/1.589676
X-ray lithography for ground rules in complex patterns
Scott Hector; Victor Pol; Azalia Krasnoperova; Juan Maldonado; Alex Flamholz; Dave Heald; Carl Stahlhammer; Dan Galburt; Ralph Amodeo; Tom Donohue; Shalom Wind; James Buchigniano; Raman Viswanathan; Mumit Khan; Srinivas Bollepalli; Franco Cerrina
J. Vac. Sci. Technol. B 15, 2517–2521 (1997)
https://doi.org/10.1116/1.589677
Design and fabrication of Fresnel zone plates with large numbers of zones
Z. Chen; Y. Vladimirsky; M. Brown; Q. Leonard; O. Vladimirsky; F. Moore; F. Cerrina; B. Lai; W. Yun; E. Gluskin
J. Vac. Sci. Technol. B 15, 2522–2527 (1997)
https://doi.org/10.1116/1.589678
Resist design concepts for 193 nm lithography: Opportunities for innovation and invention
E. Reichmanis; O. Nalamasu; F. M. Houlihan; T. I. Wallow; A. G. Timko; R. Cirelli; G. Dabbagh; R. S. Hutton; A. E. Novembre; B. W. Smith
J. Vac. Sci. Technol. B 15, 2528–2533 (1997)
https://doi.org/10.1116/1.589679
Specific behavior of chemically amplified systems with low activation energy under electron-beam exposure: Implementation of 248 and 193 nm resists
J. Vac. Sci. Technol. B 15, 2534–2540 (1997)
https://doi.org/10.1116/1.589680
Polymer structure effect on dissolution characteristics and acid diffusion in chemically amplified deep ultraviolet resists
Toshiro Itani; Hiroshi Yoshino; Shuichi Hashimoto; Mitsuharu Yamana; Norihiko Samoto; Kunihiko Kasama
J. Vac. Sci. Technol. B 15, 2541–2544 (1997)
https://doi.org/10.1116/1.589681
Latent image characterization of postexposure bake process in chemically amplified resists
J. Vac. Sci. Technol. B 15, 2545–2549 (1997)
https://doi.org/10.1116/1.589682
Deep ultraviolet resists AZ DX-561 and AZ DX-1300P applied for electron beam and masked ion beam lithography
P. Hudek; I. Kostic; M. Belov; I. W. Rangelow; F. Shi; G. Pawlowski; W. Spiess; H. Buschbeck; E. Cekan; S. Eder; H. Löschner
J. Vac. Sci. Technol. B 15, 2550–2554 (1997)
https://doi.org/10.1116/1.589683
Low energy electron beam top surface image processing using chemically amplified AXT resist
J. Vac. Sci. Technol. B 15, 2555–2560 (1997)
https://doi.org/10.1116/1.589684
Theoretical discussion of diffusion effects in negative chemically amplified resists based on contrast curve simulation
J. Vac. Sci. Technol. B 15, 2561–2564 (1997)
https://doi.org/10.1116/1.589685
Stress dependent silylation model and two-dimensional profile simulation
J. Vac. Sci. Technol. B 15, 2565–2569 (1997)
https://doi.org/10.1116/1.589686
-incorporated nanocomposite resist system for practical nanometer pattern fabrication
J. Vac. Sci. Technol. B 15, 2570–2574 (1997)
https://doi.org/10.1116/1.589687
Increasing plasma etch resistance of resists using fullerene additives
J. Vac. Sci. Technol. B 15, 2575–2581 (1997)
https://doi.org/10.1116/1.589688
Radiation-induced reactions of chemically amplified x-ray and electron-beam resists based on deprotection of -butoxycarbonyl groups
J. Vac. Sci. Technol. B 15, 2582–2586 (1997)
https://doi.org/10.1116/1.589689
Optimizing the resist to the aerial image in a chemically amplified system
J. Vac. Sci. Technol. B 15, 2587–2595 (1997)
https://doi.org/10.1116/1.589690
Nanolithography performances of ultraviolet III chemically amplified positive resist
J. Vac. Sci. Technol. B 15, 2596–2600 (1997)
https://doi.org/10.1116/1.589691
Investigation of the notching effect for single layer deep ultraviolet resist processing
Hiroshi Yoshino; Toshiro Itani; Shuichi Hashimoto; Mitsuharu Yamana; Tsuyoshi Yoshii; Norihiko Samoto; Kunihiko Kasama
J. Vac. Sci. Technol. B 15, 2601–2604 (1997)
https://doi.org/10.1116/1.589692
Top surface imaging process at and below quarter-micron resolution and pattern transfer into metal
Mathias Irmscher; Bernd Höfflinger; Christian Reuter; Reinhard Springer; Craig Stauffer; Mark Puttock
J. Vac. Sci. Technol. B 15, 2605–2609 (1997)
https://doi.org/10.1116/1.589693
T-top forming simulation using percolation theory
J. Vac. Sci. Technol. B 15, 2610–2615 (1997)
https://doi.org/10.1116/1.589694
Developer temperature effect on negative deep ultraviolet resists: Characterization, modeling, and simulation
J. Vac. Sci. Technol. B 15, 2616–2620 (1997)
https://doi.org/10.1116/1.589695
Ultrasonic and dip resist development processes for 50 nm device fabrication
J. Vac. Sci. Technol. B 15, 2621–2626 (1997)
https://doi.org/10.1116/1.589696
Quarter-micron chemically amplified reaction image determination using arrested development
J. Vac. Sci. Technol. B 15, 2627–2631 (1997)
https://doi.org/10.1116/1.589697
Quantification of the extent of reaction in a negative, novolac-based, chemically amplified resist
J. Vac. Sci. Technol. B 15, 2632–2638 (1997)
https://doi.org/10.1116/1.589698
0.1 μm WSiN-gate fabrication of GaAs metal-semiconductor field effect transistors using electron cyclotron resonance ion stream etching with
J. Vac. Sci. Technol. B 15, 2639–2642 (1997)
https://doi.org/10.1116/1.589699
Time dependence of etch-induced damage generated by an electron cyclotron resonance source
J. Vac. Sci. Technol. B 15, 2643–2647 (1997)
https://doi.org/10.1116/1.589700
Characterization of the radiation-enhanced diffusion of dry-etch damage in -GaAs
J. Vac. Sci. Technol. B 15, 2648–2651 (1997)
https://doi.org/10.1116/1.589701
Ion induced damage in strained CdZnSe/ZnSe quantum well structures
L. M. Sparing; P. D. Wang; A. M. Mintairov; S. Lee; U. Bindley; C. H. Chen; S. S. Shi; J. K. Furdyna; J. L. Merz; G. L. Snider
J. Vac. Sci. Technol. B 15, 2652–2655 (1997)
https://doi.org/10.1116/1.589702
Low damage thermally assisted electron cyclotron resonance etch technology for wide bandgap II-VI materials
J. Vac. Sci. Technol. B 15, 2656–2660 (1997)
https://doi.org/10.1116/1.589703
High reflectivity micromirrors fabricated by coating high aspect ratio Si sidewalls
J. Vac. Sci. Technol. B 15, 2661–2665 (1997)
https://doi.org/10.1116/1.589704
Layer-by-layer sputtering of Si(111) and (001) surfaces mediated by surface vacancy diffusion: Surface physics and application for nanofabrication
J. Vac. Sci. Technol. B 15, 2666–2671 (1997)
https://doi.org/10.1116/1.589705
Role of defect diffusion in the InP damage profile
J. Vac. Sci. Technol. B 15, 2672–2675 (1997)
https://doi.org/10.1116/1.589706
Interactive effects in reactive ion etching of
J. Vac. Sci. Technol. B 15, 2676–2681 (1997)
https://doi.org/10.1116/1.589707
Deposition of silicon nitride by low-pressure electron cyclotron resonance plasma enhanced chemical vapor deposition in
J. Vac. Sci. Technol. B 15, 2682–2687 (1997)
https://doi.org/10.1116/1.589708
Protective film by magnetic plasma polymerization on a Y–Ba–Cu–O superconductor
J. Vac. Sci. Technol. B 15, 2688–2691 (1997)
https://doi.org/10.1116/1.589709
Electron and ion optical design software for integrated circuit manufacturing equipment
J. Vac. Sci. Technol. B 15, 2692–2701 (1997)
https://doi.org/10.1116/1.589710
Compact electrostatic lithography column for nanoscale exposure
J. Vac. Sci. Technol. B 15, 2702–2706 (1997)
https://doi.org/10.1116/1.589711
Semiconductor on glass photocathodes for high throughput maskless electron beam lithography
J. Vac. Sci. Technol. B 15, 2707–2712 (1997)
https://doi.org/10.1116/1.589712
Filter design methodology for defect detection in wafer inspection
J. Vac. Sci. Technol. B 15, 2718–2724 (1997)
https://doi.org/10.1116/1.589714
Electrostatic deflection aberrations revisited: Solution proposed to an old problem
J. Vac. Sci. Technol. B 15, 2725–2728 (1997)
https://doi.org/10.1116/1.589715
Micro-extraction spectrometer structures for voltage contrast in the scanning electron microscope
J. Vac. Sci. Technol. B 15, 2729–2731 (1997)
https://doi.org/10.1116/1.589716
Miniature electron beam column with a silicon micro field emitter
J. Vac. Sci. Technol. B 15, 2742–2748 (1997)
https://doi.org/10.1116/1.589719
Fabrication of multiple microcolumn array combined with field emission array
Jeong-Young Park; Jared D. Lera; M. A. Yakshin; S. S. Choi; Y. Lee; K. J. Chun; J. D. Lee; D. Jeon; Young Kuk
J. Vac. Sci. Technol. B 15, 2749–2753 (1997)
https://doi.org/10.1116/1.589720
Optimization of field-emission columns for next-generation MEBES® systems
J. Vac. Sci. Technol. B 15, 2754–2759 (1997)
https://doi.org/10.1116/1.589721
Fabrication of nanoelectromechanical systems in single crystal silicon using silicon on insulator substrates and electron beam lithography
J. Vac. Sci. Technol. B 15, 2760–2763 (1997)
https://doi.org/10.1116/1.589722