A major challenge in photolithography-based nanofabrication is the patterning of large features next to small features. However, such arrangements of features are often required by nanofabricated devices such as metasurfaces. Oftentimes, electron-beam lithography is utilized to circumvent this problem, but at the expense of cost, fabrication time, and limited write-area, which, thus, limits fabrication throughput. In this work, we detail an aperiodic design framework for metasurfaces that can achieve 2 phase control in the near-infrared (NIR) and can be easily fabricated with deep-UV photolithography. We also explore several approaches by which we can achieve the fabrication of fixed pitch metasurfaces with a range of nanopost diameters as small as 220 nm and as large as 480 nm directly adjacent to one another by splitting each device into several photomask images based on a nanopost diameter and/or a pitch, a diluting photoresist developer, and tailoring photoresist thickness. We report on the effectiveness of each strategy individually and in combination with each other and characterize the optical behavior of metasurfaces fabricated with these techniques. Ultimately, we find that using a combination of all three strategies results in the best fabrication performance, allowing us to fabricate 1 mm NIR metasurfaces that can achieve 2 phase control within design tolerance specifications and can be scaled to large-area optics.
I. INTRODUCTION
Metasurface optics, or arrays of subwavelength structures tailored to manipulate light, are an emerging technology with applications ranging from telecommunications to astronomy.1,2 Seen as potentially advantageous over conventional optics due to their flat, thin nature, they can be designed to exhibit control over polarization, amplitude, and phase and have been demonstrated at wavelengths spanning from the ultraviolet through the radio regimes.3–7 As metasurface development progresses toward commercialization, high-throughput, high-fidelity, and low-cost methods of fabrication will be of utmost importance. This will additionally be important for research groups furthering the capabilities of metasurfaces, as such work often involves many iterations of a single design in order to achieve the correct feature sizes.
For metasurfaces operating in the near-infrared (NIR) and shorter wavelengths, feature sizes on a single device may vary substantially, and critical dimensions are often only achievable by electron-beam lithography (EBL). This is slow and costly compared to techniques, such as photolithography (PL), due to the serial nature of EBL versus the “parallel” nature of PL. However, PL systems commonly available in research and commercial clean rooms typically operate at 248 nm [deep-UV (DUV)] or 365 nm (i-line) and can only achieve minimum feature sizes roughly corresponding to their operating wavelength with conventional photomasks. Other strategies, such as 193 nm immersion PL, are capable of achieving 100 nm feature sizes8 but are not as widely available by researchers and are still higher cost than the more standard PL tools, particularly relating to photomasks.
A further challenge for the low-cost, high-throughput, high-fidelity manufacturing of metasurface optics is the range of feature sizes that occur on a given metasurface device, where both small gaps and small nanoposts/pillars (”small” meaning near or below the exposure wavelength) may be present in a single metasurface. This terminology as well as the geometries described are central to the focus of this paper and as such are depicted in Fig. 1. The pitch is defined as the distance from the center of a pillar to the center of a neighboring pillar, diameter is defined as the width of a pillar, and a gap is the distance between the nearest edges of two neighboring pillars.
With PL techniques, it is often impossible to find an ideal process window for both polarities of features that occur on a metasurface with as-drawn photomask features. This is because the process window for a given feature is constrained by the exposure dose and the focus offset (FO) range within which the feature can be accurately patterned. For the smallest nanoposts and the smallest gaps (as defined in Fig. 1), this range may not, and often does not, overlap. This is one of the reasons why metasurfaces that have been demonstrated previously with PL have a limited range of feature sizes and subpar performance when compared to similar metasurfaces fabricated with EBL.8,9
The obstacle of a noncommon process window for the photolithographic patterning of metasurfaces has been addressed before. Optical proximity correction (OPC) techniques were applied to an i-line photomask enabling the high-fidelity fabrication of a metalens designed for 1550 nm with nanopost diameters between 200 and 470 nm.10 However, OPC algorithms are time consuming and computationally intensive to apply to a photomask design and are not always generalizable to different metasurface geometries.11 Optics comprised of millions (or billions) of nanoposts with different shape, size, and/or spacing in close proximity to one another are very complex to model from an OPC perspective, particularly when these optics are relatively large (since they have more nanoposts). Furthermore, OPC relies on computational modeling of the lithography process, which may be imperfect, and as such, OPC often requires several photomask iterations to converge on a desired result, which can be expensive.11 OPC photomasks are typically more expensive than standard commercial photomasks for 248 nm DUV PL; as an example, a standard reduction photomask with 200 nm minimum contact manufactured by a commercial photomask vendor costs on the order of $1000, while an OPC mask may cost several times as much.12–14 Additionally, such processes often rely on dedicated modeling teams, custom OPC models, and/or expensive commercial modeling software (modeling software costs fall in the $50 000–100 000 range12–14). While custom OPC and several photomask iterations for a given design may be common in the industry, the cost, human resources, and technological resources required are prohibitive to many research groups. OPC models can be developed by research groups themselves,10,15 but many researchers developing metasurfaces are focused on optical applications rather than fabrication technology and, thus, have neither the expertise nor motivation to do so. All that said, some research groups do, in fact, use OPC successfully. However, these groups most commonly fabricate electronic circuits or other components that are much more straightforward to model with OPC than meta-optics. To our knowledge, at the time of submission, very few publications exist describing the application of OPC to metasurface fabrication, and those that do exist describe OPC models developed by the authors themselves. OPC is an incredibly useful tool and may very well facilitate DUV PL fabrication of large-area metasurfaces on a wide scale in the future as costs decrease and OPC models improve and/or become more accessible. At the present, OPC is expensive, computationally intensive, and complex to implement for meta-optics and is, thus, not optimal for researchers designing large-area metasurfaces.
An option that has been demonstrated previously for high-throughput metasurface fabrication is nanoimprint lithography (NIL).16 With this technique, a stamp of a metasurface is created via an EBL-based process, and this stamp can be used to imprint the metasurface into a resist film. The stamp can be used repeatedly, thus paving the way for mass-manufacturing. However, stamp fabrication often involves proximity corrections similar to OPC and can be complicated and costly, particularly when creating a stamp for a large-scale metasurface. For metasurface production targeting applications with 1 cm device sizes, similar trade-offs exist in producing a nanoimprint master as for performing OPC on a photomask since both require serial direct-writes with proximity correction as well as process development/calibration runs. This increases the cost of each technique enough to make them infeasible for research in which device designs are constantly being updated and varied (requiring photomasks or imprint masters to be recalibrated and regenerated). Furthermore, for a single design, the stamps may degrade faster with repeated usage than a traditional PL photomask,17 which limits the lifespan of their usage. Similarly to OPC, as NIL technology evolves, it may become a preferable way to mass-produce NIR metasurface optics. As of now, provided that the feature sizes of the metasurface are achievable with PL, a successful PL-based process would offer a more stable, reliable solution.
In this work, we explore a variable pitch design methodology that allows for the creation of 2 phase control, high fabrication-tolerance metasurfaces with DUV PL; we also explore several simple techniques to improve the fidelity of DUV PL fabrication of metasurfaces with large feature-size ranges without the use of OPC. Control of the propagation phase of light is a major area of interest in metasurface development, and 2 phase control corresponds to complete control of the propagation phase due to the periodic nature of photons (phase shifts larger than 2 are equivalent to their corresponding modulo 2 value). The devices we fabricate are 1 mm in scale but can easily be scaled much larger (to large-area metasurface sizes, e.g., 1in) due to the nature of DUV PL fabrication. First, we demonstrate that by appropriately splitting the metasurface design into separate patterns on a photomask based on a pitch or a nanopost diameter, we can circumvent the issue of nonoverlapping process windows for the small and large diameter nanoposts. While for the fixed pitch metasurface, we use two photomasks to do so (one to determine optimal splitting points and one with the split devices), they are standard commercial DUV PL photomasks and, thus, combined are still substantially cheaper than a single DUV PL OPC photomask, not to mention require no complex computational modeling or expensive modeling software. Next, we investigate the dilution of a photoresist (PR) developer to lower the contrast curve of the PR18 and, thus, change the process window to be more optimal for resolving all nanopost diameters. Third, we examine the outcome of changing PR thickness to reduce the exposure required to resolve the smallest nanoposts and, thus, again change the process window to be more optimal for all of the nanoposts. Finally, we demonstrate that combining several of these techniques, in particular, split exposure and developer dilution, can allow for high-fidelity fabrication of metasurface optics with DUV PL. Indeed, using this combination, we successfully fabricate two different metasurface transmissive vortex optics for the NIR, one with fixed and one with varying pitches. The nanopost diameters achieved for these devices range between 220 and 480 nm and 300 and 500 nm, respectively. We report on their optical performance, limitations of devices made with the explored techniques, and improvements that can be made to achieve even higher fidelity fabrication.
II. METHODS
This section is split into several subsections that cover each part of the study. First, we discuss metasurface design for both the fixed pitch and the variable pitch cases. Next, we detail the fabrication process we use for all the experiments we report on. Finally, each individual fabrication experiment is summarized in detail.
A. Fixed pitch design
A common metasurface design technique involves simulating the phase and amplitude-shifting behavior of nanoposts of a range of radii but fixed height in an infinitely periodic 2D array using Finite-Difference-Time Domain (FDTD) or Rigorous Coupled-Wave Analysis software.19–21 Typically, these parameter sweeps are first executed for a range of unit cell pitch and nanopost height, and a fixed pitch and height are selected for a higher resolution sweep of a nanopost radius based on which the height and pitch give maximum transmission (or reflection) and optimal phase-shifting behavior. We utilize such techniques to design a fixed pitch metasurface, running our simulations with Lumerical FDTD.22 Using an all-Si platform to reduce fabrication complexity, we adopt a fixed pitch of 780 nm, a nanopost height of 850 nm, and an optimal diameter range of 220–480 nm. These parameters are optimized for performance at a wavelength of 1620 nm. This wavelength is chosen since it is relevant to telecom applications,23 is near the center of a common astronomical observing band,24 and allows for an achievable range of metasurface feature sizes that can realize 2 phase control via 248 nm DUVPL.
B. Variable pitch design
A major challenge for DUV PL fabrication of NIR metasurfaces is the resolution of a range of nanostructures sufficient to achieve 2 phase control. Conventionally, this is achieved with either large nanopost height or a wide range of nanopost radii, the former of which poses an etching or structural challenge and the latter of which poses a PL fabrication challenge. We circumvent this issue by using nanopost spacing, or a pitch, as an additional free parameter for achieving 2 phase control. Using a pitch and a nanopost radius in conjunction allows for the selection of a smaller, more easily fabricated nanopost radii range.
An optimal pitch and a nanopost radius for each phase shift in a 2 phase ramp are selected using a forward design methodology based on FDTD simulations of a unit cell phase and a transmission response executed with Lumerical FDTD. A fixed nanopost height of 850 nm is again selected based on a compromise between an achievable nanopost aspect ratio, maximal phase shift range coverage, and maximal transmission with the chosen all-Si platform. At this fixed height, a sweep of unit cell pitch and nanopost diameter is carried out, and for a set of discrete phase shifts in the range 0-2 , the pitch-diameter combination that gives the given phase shift and the highest transmission is selected. This library of selected pitch and nanopost diameter can then be mapped to an arbitrary phase profile. We choose a vortex phase profile or a smooth azimuthal phase gradient that ramps from 0 to 2 two times over a full azimuthal rotation.25 Optical vortices have varied applications from quantum computing to astronomy25 and represent a good demonstration of a phase profile that requires 2 phase control and has boundaries that require small nanoposts to appear adjacent to large nanoposts.
To distribute nanoposts with the selected aperiodic distribution according to the target phase profile, we utilize the PyDistMesh mesh generation software.26 Per Palatnick et al.,2 this software optimizes a 2D mesh using the Delaunay triangulation algorithm27 with a signed distance function and an edge length function as input. The 2D mesh is initially formed with boundaries defined by a distance function and nodes (vertices) that are either uniformly distributed or distributed based on a specified initial arrangement. The mesh is iteratively adjusted via the Delaunay triangulation algorithm until the edges, or distances between adjacent nodes, reach a minimum difference from the edge lengths set by the edge length function. This minimum difference can be adjusted based on a trade-off between accuracy and speed of convergence. The edge length function in our case corresponds to a unit cell pitch as a function of coordinate position, which comes from the optimal unit cell pitches selected in our forward design routine [e.g., Fig. 2(a)]. We input this function into PyDistMesh by constructing a RegularGridInterpolator, a 2D interpolation function from the SciPy Python package,28 that takes coordinates as input and gives a unit cell pitch as an output. We then use PyDistMesh to generate a mesh defined by this function. The coordinate points defined by this mesh correspond to the position of each nanopost on the metasurface. Each nanopost is assigned its diameter based on the optimal nanopost diameter at each position selected by our forward design routine. Figure 2 demonstrates this workflow. Due to limited computational resources and the memory and time PyDistMesh requires to run to generate an appropriately sized ( 1 mm) mesh, we cut off the execution of PyDistMesh before it converges on an optimal solution. However, for our purpose of demonstrating 2 phase control, this early cutoff does not negatively impact performance based on simulations performed with Lumerical FDTD. In future work where more precise phase control is required, this workflow will be refined and PyDistMesh will be allowed to run to completion. The consequences of the early cutoff can be seen as deviations from the ideal pitch map in the edge length map [Fig. 2(b)]. The main consequence is that some nanoposts are spaced less than 200 nm apart in the Graphic Design System (GDS) file, which is used to make our photomask; these nanoposts will inevitably be merged together on a device fabricated with DUV PL without the use of OPC. However, as we will show in Sec. IV A, even with the resultant manufacturing defects of imperfect mesh generation, the variable pitch metasurface is able to achieve the desired level of phase control.
Ignoring these defects, which could be eliminated by using a smaller minimum difference cutoff value with PyDistMesh, the variable pitch metasurface is designed to be PL fabrication tolerant. The use of a pitch as a free parameter allows for the selection of a larger minimum nanopost diameter of 300 nm, which can more easily be resolved with a 248 nm DUV stepper. A minimum gap size of 280 nm (ignoring the defects) and a maximum nanopost diameter of 500 nm also represent an achievable range of features. Ultimately, for operation at a wavelength of 1550 nm, the optimized range of pitch is 780–1000 nm and the optimized range of nanopost diameters is 300–500 nm. We chose a wavelength of 1550 nm for the variable pitch design because as with the 1620 nm wavelength used for the fixed pitch metasurface, 1550 nm is relevant for telecommunications29 and falls within the same astronomical observing band.24 Furthermore, we found that with the given feature-size range and the material choice, we found that we could design a variable pitch device with superior predicted performance at 1550 nm vs 1620 nm (for the fixed pitch device, superior performance was at 1620 nm).
C. Fabrication process and optimal dose/focus determination
For each experiment (Sec. II D), we fabricate the two types of metasurface on Si wafers using a standard DUV PL-based process with the ASML PAS 5500/300 KrF DUV stepper in the University of California Santa Barbara Nanofabrication Facility. Because the fabricated devices are transmission optics, we utilize double-sided polished wafers with 1–10 -cm resistivity that are n-type Boron doped, chosen based on a trade-off between an increased cost and increased transmission at higher resistivities. Wafers with 100 mm diameter are utilized for compatibility with the stepper.
The first step in the process is the cleaning of the substrate via reactive-ion-etching (RIE) with an O plasma. Next, 60 nm thick Brewer Science DUV-42P-6 antireflective (AR) coating is spin-coated on top of the substrate to assist with adhesion and improve PL performance, followed by a 220 C, 60 s bake on a lift-pin hot plate. Then, Rohm and Haas UVN30-0.8 negative PR is spin-coated on top of the AR coating layer at the required thickness (which is a variable in our experiments), followed by a 100 C, 90 s bake. We choose UVN30-0.8 negative PR due to its fast develop time, strong adhesion to Si, robustness as an etch mask for Si, and high resolution capabilities.30 Furthermore, UVN30-0.8 has high exposure dose tolerance relative to positive PR, which often requires lower optimal exposure doses ( 6–10 mJ/cm ) that can fall below the DUV stepper’s control limits. The PR is patterned via PL with a photomask made from a GDS file created based on the metasurface layout, where nanoposts correspond to holes in the mask. The photomask is a standard binary format dark-field (clear holes in an opaque substrate) 6 6 reduction quartz mask with 200 nm minimum contact manufactured by Toppan Photomasks, Inc. PL exposure is followed by a 100 C, 90 s postexposure bake. Next, PR is developed in a bath of Clariant 2.38% tetramethyl ammonium hydroxide (TMAH) AZ300 MIF diluted with de-ionized (DI) water by the required amount (which is a variable in our experiments) for the optimized time for the given dilution. We find that results are sufficiently repeatable across different wafers with manual immersion in a developer; however, for maximal repeatability, an automatic developer system, such as the S-Cubed Flexi (the automatic developer tool in the UCSB nanofabrication facility), may be utilized. Finally, for samples that are etched, the wafer is dry-etched with a C F /SF RIE process using a PlasmaTherm Flourine Inductively Coupled-Plasma (ICP) etcher, and the remaining PR is stripped by O RIE on the same tool. Our Si etch process utilizes 950 W ICP power, 15 W bias power, 20 mTorr pressure, and a 2:1 C F /SF ratio.
To determine the optimal exposure dose and FO for each pattern for a given metasurface, we run several iterations of focus-exposure matrices (FEMs). Evaluating the quality of each section of each device at a varied exposure dose and FO also allows us to gain an understanding of the process window for each separate section.
Because we find that the nanopost diameter and the resolution do not change significantly with FO but is more sensitive to exposure dose, we choose a fixed FO at the center of the optimal range and only consider exposure dose in further refinement of our fabrication process. In this regard, we evaluate the response of each photomask pattern for a given metasurface to a range of exposure dosage to determine rough upper and lower exposure limits of each pattern. These exposure latitudes for the variable pitch and fixed pitch metasurfaces are visualized in Figs. 3 and 4. However, given the number of experiments required to get precisely accurate minimum and maximum dose for each important feature size in each device for each process variation, it is important to note that such tolerances are very approximate and should be treated more as a demonstration of how the process window changes for each process variation rather than exact tolerance measurements. Such visualization can also help guide the eye when looking at difference in the SEMs for each respective experiment. In Figs. 3 and 4, the dashed/solid lines represent the optimal exposure dose for the given experiment. The region above the shaded blocks represents exposure doses that will overexpose the nanoposts such that their diameter is more than 10% larger than the ideal diameter. The region below the shaded blocks represents exposure doses that will overexpose the nanoposts such that their diameter is less than 10% smaller than the ideal diameter. Regions where the dashed/solid lines extend above/below the shaded blocks indicate that the nanoposts with a pitch/radius corresponding to that section of line are over/underexposed.
D. Techniques for improved fabrication
1. Control
We start by developing a baseline fabrication process for the fixed and variable pitch metasurfaces that involves no fidelity-enhancing techniques (no split exposure, developer dilution, or tailoring of PR thickness). We follow the procedure described in Sec. II C to determine optimal exposure and FO for each metasurface. We find an optimal exposure dose of 71 mJ/cm for the fixed pitch metasurface and an optimal exposure dose of 83 mJ/cm for the variable pitch metasurface. This baseline process yields poor results for the fixed pitch metasurface, where small nanoposts have completely detached and large nanoposts are on the verge of being overexposed, even at the “optimal” dose. However, the baseline process is actually sufficient for the variable pitch metasurface due to the reduced range of feature sizes enabled by the aperiodic arrangement of nanoposts. These results are discussed in further detail in Sec. III A.
2. Split exposure
To accurately resolve features with different process windows in close proximity on the same device with DUV PL, we split each device into several patterns on a photomask. To split each device at the correct position, an understanding of the process windows corresponding to the maximum and minimum feature size is greatly beneficial. With this information, one can determine the range of nanoposts that have an overlapping process window with the smallest features and the range of nanoposts that have an overlapping process window with the largest features. Thus, one or two intermediate nanopost radius or pitch values could be selected at which the device could be split into separate patterns on the photomask. As stated previously, we use an initial standard commercial photomask (described in Sec. II C) featuring devices that are not split to get a sense of good nanopost diameter boundaries to split and then a second standard commercial photomask with devices split by a pitch/diameter. These photomasks require no complex and/or expensive computational modeling software to design, and together still cost a fraction of the price of one OPC photomask.12–14 Figure 5 demonstrates an example of the successful estimate splitting for the fixed and variable pitch metasurfaces, and Figs. 3 and 4 demonstrate how the different splits relate to exposure dose. For the variable pitch metasurface, two photomasks were not required and the estimate splittings on the second photomask proved to be sufficient (no variable pitch designs were included on the first photomask). In principle, a single generalized photomask with several identical designs featuring different estimate splittings could be sufficient for successful fabrication, although to maximize the likelihood of success, many different splits may need to be included, which would limit the maximum size of the target device due to a limited usable area on the photomask.
For the fixed pitch metasurface, the device was split into three separate patterns based on a nanopost radius. The patterns are divided based on whether the nanopost radius is below the 33rd percentile radius value (IMAGE1FP), between the 33rd and 66th percentile radius value (IMAGE2FP), or above the 66th percentile radius value (IMAGE3FP).
The variable pitch metasurface is split similarly. However, now, we include variations for both the pitch and radius. The device is split into three separate patterns based on the nanopost-to-nanopost pitch (IMAGE1VP, 2VP, and 3VP) and another three separate patterns based on the nanopost radius (not shown in Fig. 5). Like the fixed pitch metasurface, the patterns are divided based on whether the pitch (or the nanopost radius) is below the 33rd percentile radius value (IMAGE1VP), between the 33rd and 66th percentile pitch value (IMAGE2VP), or above the 66th percentile pitch value (IMAGE3VP). For both the fixed pitch and the variable pitch metasurface, an additional estimate splitting was included on the photomask based on whether the pitch/nanopost radius was above or below the 50th percentile pitch/nanopost radius value. However, because this yielded less successful results than the three-split version, we utilize the three-split version for our fabrication experiments.
To measure how well the splits are aligned with respect to each other, vernier scales are included next to each separate pattern. Because we use only a single photomask, which is aligned to a fiducial on the wafer stage with extreme precision (<10 nm) once loaded into the lithography tool and does not move throughout the lithography process, we do not use any further alignment strategy. Using the vernier scales, we measure alignment within the 50 nm specifications of the stepper. For a process involving multiple photomasks, where alignment error may be introduced when switching between photomasks, an alignment strategy in which “layer 0” alignment marks are exposed on the wafer and then all photomasks are aligned to these marks is recommended.
Due to the nature of the splitting technique, where nanoposts in very close proximity may be exposed separately, it is very important to also consider optical proximity and interference effects that may complicate or detract from lithographic performance. Such effects, where light passing through one nanohole in a photomask may add to or interfere with light passing through an adjacent nanohole depending on the separation between adjacent nanoholes, may change the actual exposure dose delivered to a given PR nanopost.31,32 For instance, IMAGE3VP in Fig. 5 has two regions of densely packed nanoposts and two narrow, sparse regions of few nanoposts. The nanoposts in the dense regions would have an effectively higher exposure dose than the isolated nanoposts in the sparse regions due to the addition of light from adjacent nanoholes being exposed, even if all regions in this image receive the same nominal dose. However, because we overlay IMAGE1VP, IMAGE2VP, and IMAGE3VP, even with three separate exposures, we do not expect optical proximity effects to play a major role in detracting from ideal performance. This is because even though the sparse nanopost regions in each image will receive a lower effective dose than the dense nanopost regions, the sparse regions in a given image will all get added exposure via the proximity effect when the subsequent image is exposed. This will raise the effective lower dose that these sparse regions receive. Because the exposure added due to the proximity effect is a fraction of the total dose delivered to the nanoposts actually intended to be exposed with that dose,32 we do not expect this to result in overexposure of the sparse regions of nanoposts. As for interference effects, we do not expect interference effects to play a major role more than the normal amount they occur in exposing nanopost arrays since the different images to be exposed and stitched contain nanoposts of a similar pitch and/or a radius that are not sized below the minimum gap or the feature size achievable by the 248 nm DUV stepper, save for small defects in the variable pitch design that were discussed in Sec. II B. No interference occurs at the boundaries of the stitched sections since each section receives a separate exposure.
Another consideration central to the success of this technique is the alignment accuracy of the lithography tool. The ASML 5500/300 we utilize offers 50 nm stage accuracy. More specifically, for a single-mask, single wafer-load, accuracy is nm for 99.7% of all runs. Using vernier marks with our separate photomask images that are stitched together, we find that alignment is better than 50 nm. For our designs, nanoposts 850 nm tall separated by a minimum of 780 nm (center to center) vary in phase-shifting behavior gradually rather than sharply with increasing pitch and/or radius. As such, alignment deviations on the order of 50 nm are unlikely to have a significant impact. For other metasurfaces that utilize nanoposts whose behavior varies gradually with a feature-size change and have features substantially larger than 50 nm, alignment accuracy will not be an issue that prevents the use of split exposure. However, for metasurface designs that incorporate a much smaller pitch (small enough that 50 nm deviations can impact performance) or that utilize nanoposts whose behavior varies sharply with the changing pitch, split exposure may not be an optimal solution.
3. Developer dilution
To change the process window of the smallest diameter nanoposts and the largest diameter nanoposts to be more common (optimal for each size), we dilute the AZ300 MIF developer used to remove the unexposed UVN30-0.8 PR.
Negative Rohm and Haas Electronic Materials UVN30-0.8 photoresist is comprised of four primary components: a propylene glycol monomethyl ether acetate solvent, a photoactive compound, a phenolic resin, and an amidomethyl cross-linking agent.33 Exposure of UVN30 to 248 nm light induces a reaction in which the photoactive compound produces a strong acid. Once the postexposure bake is executed, the exposed regions have sufficient thermal energy and acid content to bond the cross-linking agent with the resin. These cross-linking bonds cause exposed regions of PR to become insoluble in developer.34,35 However, due to absorption and the optical effects associated with the beam of light passing through the photomask, light does not uniformly penetrate a given column of resist. Instead, for a circular hole in a photomask, the aerial image imprinted on the resist is a Gaussian in the direction parallel to the plane of the resist, and intensity of light penetrating the resist falls off exponentially in the direction normal to the surface.36 Because of this, there is a spatial gradient in the fraction of cross-linked PR toward the edges of a given circular column of PR exposed through a photomask, as well as through the resist thickness. Where exposed PR is only partially cross-linked, it is still susceptible to being removed by a developer. When using an undiluted TMAH developer (such as AZ300 MIF), there is a high concentration of particles that can remove PR that is not completely cross-linked, a phenomenon known as dark erosion. In fact, with increased developer concentration, dark erosion interactions increase at a faster rate than do interactions that remove unexposed PR.18 A less concentrated—or more diluted—developer has a lower density of particles that remove PR. As such, interactions between a partially cross-linked resist and these particles occur at a lower rate, while interactions between a developer and an unexposed resist still take place at a high enough rate for development to occur.18
Using a concentrated developer, which can significantly erode regions of partially cross-linked PR at a fast rate (seconds), can, thus, result in the unwanted removal of small features from a lithographic pattern. Using a diluted developer, which is less destructive to regions of partially cross-linked PR, allows for PR development without removal of small, partially exposed features. As such, we perform repeated PL experiments varying only the developer dilution and time (time must be increased to ensure full PR development when a developer is diluted) until we find an optimal dilution to allow for the resolution of small and large diameter nanoposts at a minimum deviation from an ideal feature size. To dilute the developer, we first fill a 190 100 mm crystallization dish with AZ300MIF to a specified height and then add DI water by using a sink sprayer in a circular motion around the dish until there is enough DI water to achieve the target dilution amount. The volume of the developer and the DI water in the dish are determined through repeated experiments and tailored to the specific device (a fixed pitch or a variable pitch metasurface).
Develop time plays a critical role in resolution of nanoscale structures as well and is, thus, another parameter that can be analyzed with regard to fabrication performance for metasurfaces. For each individual experiment where a developer is diluted, we tailored the develop time to remove as much unexposed PR as possible while causing as little destruction of the smallest PR nanoposts as possible. To do so, we visually inspect the sample as development is occurring and conclude development 10 s after the sample shows no visual change. If the smallest nanoposts detach within this time, the trial is repeated with develop time shortened by 5 s. If the given sample has unremoved unexposed PR but no nanopost detachment, the trial is repeated with an additional 10 s of develop time added. Trials are repeated with extended develop time until either the smallest nanoposts detach or all of the unexposed PR is gone. These experiments are summarized in Table I.
Fixed pitch . | Variable pitch . | ||||
---|---|---|---|---|---|
Dilution . | Time (s) . | Result . | Dilution . | Time (s) . | Result . |
4:1 | 90 | Overdeveloped | 4:1 | 60 | Underdeveloped |
4:1 | 60 | Overdeveloped | 4:1 | 70 | Underdeveloped |
3:2 | 120 | Overdeveloped | 4:1 | 80 | Underdeveloped |
3:2 | 115 | Overdeveloped | 4:1 | 90 | Developed |
3:2 | 110 | Overdeveloped | |||
3:2 | 105 | Overdeveloped | |||
3:2 | 100 | Overdeveloped | |||
3:2 | 95 | Overdeveloped | |||
3:2 | 90 | Developed |
Fixed pitch . | Variable pitch . | ||||
---|---|---|---|---|---|
Dilution . | Time (s) . | Result . | Dilution . | Time (s) . | Result . |
4:1 | 90 | Overdeveloped | 4:1 | 60 | Underdeveloped |
4:1 | 60 | Overdeveloped | 4:1 | 70 | Underdeveloped |
3:2 | 120 | Overdeveloped | 4:1 | 80 | Underdeveloped |
3:2 | 115 | Overdeveloped | 4:1 | 90 | Developed |
3:2 | 110 | Overdeveloped | |||
3:2 | 105 | Overdeveloped | |||
3:2 | 100 | Overdeveloped | |||
3:2 | 95 | Overdeveloped | |||
3:2 | 90 | Developed |
4. PR thickness variation
To reduce the aspect ratio of the resultant PR nanoposts, which makes them more robust to shear stress during development, we experiment with a thinner layer of PR. Naively, with thinner PR, we may also expect the exposure required to resolve the smallest features to be reduced. A thinner layer of PR may allow for more light to saturate the entire PR column for each nanopost, which helps to strengthen the PR at the substrate PR boundary, where the smallest nanoposts are most vulnerable to being underexposed and thus washing away during development. While the interaction of light and PR is more complicated than this simple assumption (absorption of a PR film depends on BARC thickness, PR thickness, and the optical indices of the various materials involved, among other independent variables not empirically tested in this study), we do indeed see a reduction in dose. Furthermore, it has been shown previously that differences in resist thickness can have a bulk effect on the PR dissolution rate as well as an effect on the PR dissolution rate at different locations within the PR thickness,37 though our primary objective in testing thinner PR lies with the reducing dose and aspect ratio. Two PR thickness values are chosen; 550 and 400 nm. Thickness values are chosen based on the fact that 550 nm is the recommended thickness for UVN30-0.8 negative PR in the UCSB nanofab38 and 400 nm is thinner while thick enough to remain viable as a soft mask for subsequent etching steps. A future work might perform optical simulations of PR of different thicknesses and extend the range of PR thicknesses used in the fabrication experiments.
III. RESULTS
We perform repeated fabrication trials utilizing each of the proposed techniques separately and in combination. The different approximate exposure process windows determined for each trial are summarized for the variable pitch and the fixed pitch metasurfaces in Figs. 3 and 4, respectively. For the devices we fabricate, we determine using FDTD simulations that a deviation from an ideal nanopost radius up to 10% does not significantly impact device performance. As such, we adopt this boundary as the threshold for considering whether a given fabricated metasurface is within tolerance, as indicated by the shaded regions in Figs. 3 and 4. Table II summarizes each combination of different fabrication techniques and the corresponding results of fabrication.
Experiment . | Pitch . | Split exposure . | Developer dilution . | Thinner PR . | Result (w.r.t. control) . | Better than? . |
---|---|---|---|---|---|---|
1 | Fixed | No | No | No | Control | |
2 | Fixed | Yes | No | No | Better | 1 |
3 | Fixed | No | Yes | No | Better | 1, 2 |
4 | Fixed | No | No | Yes | Equal | |
5 | Fixed | Yes | Yes | No | Better | 1, 2 |
6 | Fixed | No | Yes | Yes | Better | 1, 2, 3, 4 |
7 | Fixed | Yes | No | Yes | Better | 1, 2, 4 |
8 | Fixed | Yes | Yes | Yes | Best | 1, 2, 3, 4, 5, 6 |
9 | Variable | No | No | No | Control | 12 |
10 | Variable | Yes | No | No | Better | 9,12 |
11 | Variable | No | Yes | No | Equal | 12 |
12 | Variable | No | No | Yes | Worse | |
13 | Variable | Yes | Yes | No | Better | 9, 10, 11, 12 |
14 | Variable | No | Yes | Yes | Equal | 12 |
15 | Variable | Yes | No | Yes | Better | 9, 10, 11, 12 |
16 | Variable | Yes | Yes | Yes | Better | 9, 10, 11, 12 |
Experiment . | Pitch . | Split exposure . | Developer dilution . | Thinner PR . | Result (w.r.t. control) . | Better than? . |
---|---|---|---|---|---|---|
1 | Fixed | No | No | No | Control | |
2 | Fixed | Yes | No | No | Better | 1 |
3 | Fixed | No | Yes | No | Better | 1, 2 |
4 | Fixed | No | No | Yes | Equal | |
5 | Fixed | Yes | Yes | No | Better | 1, 2 |
6 | Fixed | No | Yes | Yes | Better | 1, 2, 3, 4 |
7 | Fixed | Yes | No | Yes | Better | 1, 2, 4 |
8 | Fixed | Yes | Yes | Yes | Best | 1, 2, 3, 4, 5, 6 |
9 | Variable | No | No | No | Control | 12 |
10 | Variable | Yes | No | No | Better | 9,12 |
11 | Variable | No | Yes | No | Equal | 12 |
12 | Variable | No | No | Yes | Worse | |
13 | Variable | Yes | Yes | No | Better | 9, 10, 11, 12 |
14 | Variable | No | Yes | Yes | Equal | 12 |
15 | Variable | Yes | No | Yes | Better | 9, 10, 11, 12 |
16 | Variable | Yes | Yes | Yes | Better | 9, 10, 11, 12 |
A. Using each technique separately
To understand the effect each method for improving DUV PL of metasurfaces has on fabrication performance, we first look at each technique individually for the fixed pitch and variable pitch metasurface designs. Once an optimal exposure and FO is found for each entire control device based on the FEM procedure described in Sec. II C, we take SEM images and characterize the nanopost diameters. Additionally, we report on approximate exposure dose tolerance based on the results of the FEMs (Figs. 3 and 4). For readability, the primary SEM images for all experiments are included in Figs. 6 and 7, and additional SEMs are located in the Appendix.
For the fixed pitch metasurface, the largest nanoposts in the control sample are within tolerance, but the smallest nanoposts do not show up. This is because the bases of these pillars are undercut during development significantly enough for them to be washed away. Increasing the exposure dose improves this at the expense of causing the largest nanoposts to be out of tolerance range. With thinner PR, a similar result can be seen, although the ideal dose is lower.
With split exposure, more of the smaller nanoposts resolve, but still not the smallest. Additionally, the largest nanoposts in the pattern section corresponding to IMAGE1FP (the pattern section with the smallest nanoposts) are over tolerance. The dose required to get the smallest nanoposts to be robust to development will significantly overexpose the largest nanoposts in IMAGE1FP, which causes a major discontinuity between IMAGEF1P and IMAGEF2P where there should be a smooth gradient in a nanopost diameter. Examples of this discontinuity are marked by the red arrows in Fig. 6. The optimal dose for IMAGE1FP is then chosen to be a dose than can resolve most—but not all—of the smallest nanoposts while minimizing the discontinuity between pattern sections. With a more careful choice of where to split the metasurface into separate pattern sections on the photomask, it appears that this issue could be avoided, allowing for resolution of small nanoposts and large nanoposts in a more smooth gradient. Figure 4(c) demonstrates the approximate exposure dose process window for the smallest and largest nanoposts in each pattern section. The discontinuity as well as the failure to resolve the smallest nanoposts in this experiment can be visualized by where the solid lines, or chosen optimal doses, fall outside the shaded process windows.
The issue of resolving all nanoposts is resolved by diluted development. We determine optimal dilution by running repeated trials, increasing the dilution each time until we find a minimum in deviation from an ideal feature size and a maximum in fraction of features resolved. For the fixed pitch metasurface, we use a 3:2 dilution of an AZ300MIF developer and DI water, and development is stopped as soon as no more PR is visible on the wafer surface. Allowing development time to extend further results in the undercutting and detachment of the smallest nanoposts. This occurs for the fixed pitch but not for the variable pitch metasurface because the minimum nanopost diameter is smaller than the DUV exposure wavelength only for the fixed pitch metasurface. The smallest nanoposts all show up and are within tolerance, but now, the largest nanoposts are slightly over radius tolerance.
For the variable pitch metasurface, each trial except for the thinner PR trial produces similar within-tolerance results. This clearly demonstrates the fidelity of the variable pitch design methodology for making large-scale, fabrication-friendly, 2 phase control metasurfaces. For the diluted developer trial, we ultimately use a 4:1 dilution of an AZ300MIF developer and DI water, and optimal development time extends 30 s after no more PR is visible on the unexposed wafer surface. For the thinner PR trial, some of the smallest nanoposts were undercut and detached during development. This can potentially be corrected entirely with further refinement of the dose and FO.
The solid lines in Figs. 4(a)–4(d) and Figs. 3(a)–3(d) represent the chosen ideal exposure doses for each described experiment. For the fixed pitch metasurface, these are 73 (a), 69 (b), 80/75/70 (c), and 74 mJ/cm (d). For the variable pitch metasurface, these are 85 (a), 82 (b), 83/86/87 (c), and 68 mJ/cm (d). The optimal exposure doses identified for each experiment are summarized in Table III.
Experiment . | IMAGE1(F/V)P dose (mJ/cm2) . | IMAGE2(F/V)P dose (mJ/cm2) . | IMAGE3(F/V)P dose (mJ/cm2) . |
---|---|---|---|
1 | 71 | 71 | 71 |
2 | 80 | 75 | 70 |
3 | 69 | 69 | 69 |
4 | 74 | 74 | 74 |
5 | 75 | 73 | 70 |
6 | 64 | 64 | 64 |
7 | 78 | 72 | 68 |
8 | 66 | 63 | 61 |
9 | 83 | 83 | 83 |
10 | 87 | 86 | 83 |
11 | 82 | 82 | 82 |
12 | 68 | 68 | 68 |
13 | 73 | 71 | 62 |
14 | 66 | 66 | 66 |
15 | 85 | 83 | 73 |
16 | 71 | 69 | 59 |
Experiment . | IMAGE1(F/V)P dose (mJ/cm2) . | IMAGE2(F/V)P dose (mJ/cm2) . | IMAGE3(F/V)P dose (mJ/cm2) . |
---|---|---|---|
1 | 71 | 71 | 71 |
2 | 80 | 75 | 70 |
3 | 69 | 69 | 69 |
4 | 74 | 74 | 74 |
5 | 75 | 73 | 70 |
6 | 64 | 64 | 64 |
7 | 78 | 72 | 68 |
8 | 66 | 63 | 61 |
9 | 83 | 83 | 83 |
10 | 87 | 86 | 83 |
11 | 82 | 82 | 82 |
12 | 68 | 68 | 68 |
13 | 73 | 71 | 62 |
14 | 66 | 66 | 66 |
15 | 85 | 83 | 73 |
16 | 71 | 69 | 59 |
B. Using multiple techniques together
Combining split exposure, developer dilution, and thinner PR can yield further improved lithography results. We examine each combination of techniques here.
Using split exposure with thinner PR offers improvement over either separate technique for the fixed pitch metasurface, and there is no significant change for the variable pitch metasurface. Here, a smaller fraction of the smallest diameter nanoposts are unresolved, and discontinuities between separate pattern sections are slightly less significant. The variable pitch metasurface demonstrates a minimal change in fabricated results with this technique and is still within design tolerance. As can be seen in Figs. 3 and 4(f), this combination allows for a more optimal dose to be chosen for each pattern section. For the fixed pitch metasurface, we find an optimal exposure dose of 78, 72, and 68 mJ/cm for IMAGE1FP, IMAGE2FP, and IMAGE3FP, respectively. For the variable pitch metasurface, we find an optimal exposure dose of 85, 83, and 73 mJ/cm for IMAGE1VP, IMAGE2VP, and IMAGE3VP, respectively.
Using developer dilution with thinner PR also yields improvement over either technique separately for the fixed pitch metasurface and produces similarly good results for the variable pitch metasurface (shown in Fig. 7). Now, the PR is thin enough to allow for an exposure dose that, when paired with the wider process window created by developer dilution, can resolve the smallest nanoposts while barely overexposing the largest nanoposts. This is demonstrated in Figs. 6 and 17, where all of the nanoposts show up, but the largest nanoposts (measured at 550 nm) are slightly outside the 10% tolerance range. For the fixed pitch metasurface, we find an optimal exposure dose of 64 mJ/cm . For the variable pitch metasurface, we find an optimal exposure dose of 66 mJ/cm .
Using split exposure with developer dilution yields substantial improvement over split exposure by itself, but only minor improvement over tailored developer dilution by itself. This is most obviously seen with the fixed pitch metasurface, where a direct improvement in the resolution of the smallest nanoposts can be visually identified in Figs. 6 and 16, and the optimal doses are much closer to completely within the approximate tolerance range in Fig. 4(g). With split exposure by itself, the smallest nanoposts could be resolved only with an exposure energy that caused large discontinuities between the pattern boundaries. However, combining developer dilution, which widens the process window for all nanopost diameters, with split exposure, which allows for a higher exposure for just the smaller nanoposts, allows for exposure doses to be chosen that can expose small nanoposts with minimal discontinuity between pattern sections. However, to keep this discontinuity small, a slightly higher than ideal exposure dose is selected for the pattern section with the largest nanoposts, which results in the largest nanoposts being just out of tolerance range. For the fixed pitch metasurface, we find an optimal exposure dose of 75, 73, and 70 mJ/cm for IMAGE1FP, IMAGE2FP, and IMAGE3FP, respectively. For the variable pitch metasurface, we find an optimal exposure dose of 73, 71, and 61 mJ/cm for IMAGE1VP, IMAGE2VP, and IMAGE3VP, respectively. As with previous experiments, the variable pitch metasurface remains robust to fabrication process variations and once again demonstrates a minimal change in fabricated results with this technique.
Using split exposure, developer dilution, and thinner PR results in the best fixed pitch metasurface samples. This combination results in nanopost diameters very close to ideal on the small end and just within 10% tolerance on the large end. Once again, fabrication of the variable pitch metasurface with this combination is successful. Figures 6, 7, and 18 showcase SEMs of the respective devices with representative nanopost radius measurements. Figures 3 and 4 demonstrate that the optimal doses fall just within the approximate dose process windows for both devices. For the fixed pitch metasurface, we find an optimal exposure dose of 66, 63, and 61 mJ/cm for IMAGE1FP, IMAGE2FP, and IMAGE3FP, respectively. For the variable pitch metasurface, we find an optimal exposure dose of 71, 69, and 59 mJ/cm for IMAGE1VP, IMAGE2VP, and IMAGE3VP, respectively.
The optimal fixed and variable pitch metasurfaces also demonstrate good PR sidewall profiles. Images B and C of Fig. 8 demonstrate typical PR sidewalls for the fixed and variable pitch metasurfaces. The slight reverse taper of the PR profiles can be made more vertical by the variation of other PR parameters (e.g., preexposure bake time, post exposure bake time, etc.).
IV. DISCUSSION
A. Device measurements and performance characterization
We utilize the split exposure technique as well as developer dilution and thinner PR to fabricate both the variable pitch and fixed pitch metasurfaces. Since these devices match up closely to their intended nanopost diameter feature sizes, we complete the fabrication process for the devices by etching them to the correct height using the etch process described in Sec. II C and then characterize their optical behavior. Figure 9 demonstrates SEMs of the fully fabricated all-Si variable pitch and fixed pitch metasurfaces.
Phase and amplitude for each metasurface are characterized using a digital holographic microscope (DHM). This microscope, described in detail in Wallace et al.,39 utilizes interferometric techniques to extract a spatial phase and amplitude behavior from the sample being observed. With the DHM, we see good agreement between the measured phase profile and the ideal design phase profile for both the variable and fixed pitch metasurfaces. These measurements are depicted in Fig. 10. We quantitatively examine how well these measurements match with the ideal profile by averaging several azimuthal cuts of a different radius for both the measured and ideal phase profiles and then take the root-mean-squared (RMS) residual difference between the measured and ideal azimuthal cuts. We find a RMS difference of 0.42 and 0.5 rad for the variable pitch and fixed pitch metasurfaces, respectively. From looking at the phase measurements in comparison with the colorbar in Fig. 10, it is clear that both samples achieve the desired 2 phase control. A useful comparison for these measurements would be to those of an identical device fabricated with EBL. While we have not developed an EBL-based process for either metasurface design, we can speak on potential performance discrepancies based on the closeness of our achieved features to their ideal sizes and the corresponding performance we measure. The largest nanoposts of the fixed pitch metasurface are just within 10% tolerance, but still larger than the ideal size. Between each image splitting, there may be slight abrupt jumps in a nanopost radius. These issues would presumably not be present for an identical fixed pitch metasurface fabricated with EBL, but only represent slight degradations to performance. The nanoposts of the variable pitch metasurface are mostly close to their ideal radius, but in places where the aperiodic nanopost distribution algorithm failed to space them far enough apart to be able to be resolved with DUVPL, some are overexposed and clumped together. These places would be correctly resolved by EBL, which does not have the same resolution limits, but only represent small segments of a device where nanoposts are mostly close to their respective ideal radii. In summary, we would expect an EBL version of either device to slightly, but not significantly, outperform our DUVPL fabricated devices. Such gains may not be necessary for all applications and as previously mentioned, can be more costly and time consuming.
B. Fabrication tolerance, repeatability, and uniformity
To assess the viability of our techniques for use in future metasurface design work, we carry out an in-depth analysis of fabrication performance and its impacts on metasurface behavior. The first step of this assessment is a characterization of the tolerance of each device to the PL process. Figures 3 and 4 demonstrate the tolerance of each section of each device to exposure dose.
For the variable pitch metasurface, a nanopost diameter is relatively tolerant to exposure dose variation around the optimal values. Each mask section can receive between 1–3 mJ/cm around the optimal dose and still produce nanoposts with diameters within 10% of the intended diameters.
For the fixed pitch metasurface, a nanopost diameter has relatively tight tolerance. This is mainly due to the slightly misaligned process windows described above. Ignoring the first mask section (the cause of the process window misalignment problem), the second two sections are highly tolerant to different exposure doses (variations of about 10 mJ/cm will still produce accurately sized nanoposts).
The processes for both the variable pitch and the fixed pitch devices are relatively tolerant to FO. Changes of as much as 0.05 from the optimal FO do not make a significant difference on a nanopost diameter. However, significant deviations from the optimal FO ( ) result in failure to resolve smaller nanoposts for each metasurface as well as degraded PR wall profiles.
The PL process for each device is also uniform across the surface of a 100 mm wafer as well as across different wafers/lithography process runs; fabricated devices look the same on different parts of a wafer and for different wafers fabricated on separate days/times. Furthermore, in running experiments with photorepeated metasurfaces across a 100 mm wafer, we see high uniformity between separate metasurfaces and no evidence of degradation due to proximity effects between separate patterns. However, while this may be the case for metasurfaces spaced >4 mm apart (which was the spacing between them in our experiments), there is likely a distance between different metasurface patterns at which proximity effects may degrade lithographic performance. Even with mm scale separations between metasurfaces, a 100 mm wafer can hold many metasurfaces; therefore, we do not expect these proximity effects to be prohibitive to large-scale manufacturing (because the metasurfaces can be spaced far enough apart to avoid proximity effects).
The primary source of nonuniformity in the PL process is uneven development of the PR, which comes from either unequal flow of a developer through all parts of a given wafer or mismatched required develop time between the largest and smallest nanoposts. Figure 8 demonstrates photoresist metasurfaces for several different process runs; in B and C, the PR looks relatively uniform, and in A, the smallest nanoposts are overdeveloped, but not quite eliminated, and the largest nanoposts are slightly underdeveloped. Developer flow across the wafer surface could be improved by increased agitation in the develop step or through the use of an automated developer tool. The mismatch in develop time is another parameter that can be optimized in conjunction with the amount of developer dilution; further refinement of these two parameters for a given metasurface could yield more uniform results. The more optimal PR profiles in B and C allow for relatively vertical etching of the Si nanoposts, as can be seen in Fig. 9. While not perfectly vertical, these nanoposts demonstrate low sidewall angles (20 for the fixed pitch and 10 for the variable pitch metasurface) that can be further tuned by adjusting etch chemistry (C F :SF ratio), bias power, pressure, temperature, and/or RF power. Additionally, further optimizing the FO during the PL process could change the PR nanopost structure to one that could also aid in vertical etching. Ultimately, the focus of this paper is primarily on the PL step of the fabrication process, and because we are able to etch nanoposts that are vertical enough to perform as intended (create a vortex phase profile), we deem this quality of nanopost etch sufficient for our demonstration.
The PR we utilize acts as a robust mask for Si etching. We run repeated etches to different depths between the ideal 850 and 1800 nm. In each trial, a minimum PR thickness of 100 nm remains postetch. This implies that while we achieve a maximum aspect ratio of :1, even greater aspect ratios can be achieved. For 550 nm thick PR, 250 nm remains after an 1800 nm Si etch. For 400 nm thick PR, 100 nm remains after an 1800 nm Si etch. Both results imply a selectivity of 6:1.
While our achieved Si metasurfaces are only 1 mm , we expect the fabrication techniques we utilized to be scalable to much larger devices. The nature of DUV PL is such that pattern sizes are only limited by the writable area on a photomask. Different photomasks can even be used together to create an even larger metasurface, though this may introduce stitching errors. The techniques we describe in this work—variable pitch design, split exposure, developer dilution, and thinner PR—do not have an obvious scaling relationship that would pose a fabrication challenge for a large metasurface. However, it must be noted that the process windows we identify in this work may fail for a device of a much different size. The proximity effects introduced by a photomask pattern with many more holes (i.e., more nanoposts) may cause the optimal exposure dose and FO to change substantially. Likewise, the specific developer dilution and the PR thickness that we identified may require modification. That being said, with the techniques we describe, it would be straightforward to develop a new process window for a bigger metasurface, provided the feature sizes are similar. Furthermore, given the robustness of the variable pitch metasurface to changes in the DUV PL procedure, arriving at a new process window for an upscaled variable pitch metasurface may be less of an obstacle than for an upscaled fixed pitch metasurface.
For a larger metasurface, a development rate may be uneven across a single device. Localized regions may develop slower, while the edge of the metasurface may develop faster. If this is the case, the use of an automated developer tool (as opposed to our current manual process) could afford additional control in agitation and develop a flow rate to ensure a more uniform development rate across the wafer.
V. SUMMARY AND CONCLUSION
In this work, we demonstrated the fabrication of metasurfaces with large feature-size ranges and/or 2 phase control using DUV PL and various simple performance enhancing techniques. First, we introduce a variable pitch design methodology for metasurfaces that allows for selection of a feature-size range both compatible with DUV PL and capable of 2 phase control. With improvements to the nanopost placement algorithm, or more computing power, the variable pitch design may be made to be even more DUV PL fabrication friendly and offer improved optical performance. Using pitch in conjunction with a nanopost radius as a metasurface design parameter may also serve as a method for designing more broadband metasurface optics compatible with DUV PL fabrication (Palatnick et al.40).
Next, we introduce several ideas for DUV PL fabrication of metasurfaces with smaller feature sizes and a wider range of nanopost diameters; (A) an image splitting technique where a given device is split into several patterns on a photomask based on a feature size that can be exposed separately in order to circumvent the issue of a nonoverlapping process window for small and large features, (B) tailoring of developer dilution to create a wider process window and reduced development for smaller features, and (C) tailoring of PR thickness to lower the exposure dose required to resolve the smallest features. We demonstrate that the variable pitch metasurface can be successfully fabricated with DUV PL whether or not any of these techniques are used (with the caveat that the thinner PR trial did have some nanopost detachment that could be corrected with further process refinement), and a conventional fixed pitch metasurface with a minimum nanopost diameter of 220 nm can be fabricated within tolerance by using all of these techniques in combination. Such a set of techniques are a simple, fast, generalizable, and cheap alternative to an EBL-based fabrication procedure or an OPC-based photomask. However, as demonstrated in this work, for split exposure, choosing the correct nanopost radius or pitch at which to split the different patterns is crucial to the high-fidelity fabrication of the device. If the process windows for all of the features in an image do not align, larger features may still have to be overexposed at the price of resolving smaller features, as demonstrated by our fixed pitch metasurface results.
This issue can be resolved entirely with knowledge of the process window involved for all of the critical features of a given device prior to designing and ordering a photomask. Alternatively, this could mean that an initial photomask with a “best guess” as to the image splittings is used to determine these process windows and then a second “design” photomask is used to accurately fabricate the metasurface with properly exposed features (similar to what was done in this work but with split designs on the first mask as well as the second). As was noted previously, it may also be possible to resolve this issue with a single photomask with various “best guess” splittings. A photomask as described in Sec. II C has a usable area described by the intersection of a 22 27 mm rectangle and a 31 mm diameter circle. Given this and the required 1 mm separation between separate patterns, for a 0.5 cm meta-optic (a moderately large-area), you could fit 12 patterns on the photomask, which could equate to 4 sets of devices 3 estimate splittings or 6 sets of devices with 2 estimate splittings, or some other combination. For a smaller meta-optic, you could fit even more variations.
For each technique, we report approximate tolerances to exposure dose at fixed FO (see Figs. 3 and 4). We demonstrate that developer dilution increases the range of exposure dose that will result in nanoposts with radii accurate to within 10%, and that thinner PR lowers the dose required to resolve the smallest nanoposts.
For the best fixed and variable pitch devices we fabricate, we characterize their optical behavior and show that their phase-shifting performance matches relatively well with ideal vortex behavior. For the variable pitch metasurface, performance may be further improved by setting a smaller convergence cutoff when using PyDistMesh to determine nanopost placement; this would help to eliminate the small clusters of pillars with minimum gaps below the resolution limits of DUVPL. However, even with these defects, we still see accurate optical behavior (see Fig. 10). For the fixed pitch metasurface, performance may be improved by more refinement of the fabrication process, including further tailoring of developer dilution and time as well as other parameters not mentioned in this study (various bake times, develop temperature, etc.). Additionally, future studies might also consider extending these experiments to positive PR, which may possess higher resolution capabilities than negative PR.41
Finally, we report on fabrication tolerance and uniformity as well as etch performance. We find that fabrication is highly uniform across a wafer surface and across different wafers. We also find that our DUVPL process results in PR structures with side profiles that allow for relatively vertical etching of Si with high selectivity. We briefly note ways in which etch verticality can be improved, including modifying the etch chemistry, pressure, RF and bias power, and temperature. Ultimately, to fabricate metasurfaces with large and small features in close proximity, small features nm, and near-exact match between designed and fabricated feature sizes, an OPC-based photomask or an EBL-based fabrication process will offer the most robust performance. However, using the fabrication techniques we describe with a standard DUV PL photomask and process, you can still get quite good fabrication results that deliver desirable performance. For large-area designs that are costly and slow to fabricate with EBL and computationally intensive and expensive to run OPC for, such techniques may be a vital way to tune prototype performance before jumping to EBL or OPC for a final device. For small research groups or groups with limited funding, such techniques may be a far superior strategy for fabrication given their cost-effectiveness and the fact that they can yield good results. Finally, as more attention is paid toward how to mass manufacture metasurface optics, for certain designs, these techniques may be the optimal route toward fast, cheap, repeatable, and versatile fabrication.
ACKNOWLEDGMENTS
A portion of this work was performed in the UCSB Nanofabrication Facility, an open access laboratory. The authors thank the UCSB Nanofabrication Facility staff for their guidance and support. S.P. and M.M.-B. thank Ben Mazin, Jon Schuller, and Larry Heki for their useful feedback and guidance. The authors also thank Wayne Henry and Mohamed Ramadan of Photronics, Inc. for informative discussions about OPC photomask manufacturing.
AUTHOR DECLARATIONS
Conflict of Interest
The authors have no conflicts to disclose.
Author Contributions
Skyler Palatnick: Conceptualization (equal); Data curation (lead); Formal analysis (lead); Investigation (lead); Methodology (lead); Project administration (lead); Validation (lead); Visualization (lead); Writing – original draft (lead); Writing – review & editing (lead). Demis John: Conceptualization (equal); Investigation (equal); Methodology (equal); Supervision (equal); Writing – review & editing (equal). Maxwell Millar-Blanchaer: Funding acquisition (lead); Methodology (supporting); Project administration (equal); Supervision (equal); Writing – review & editing (equal).
DATA AVAILABILITY
The data that support the findings of this study are available from the corresponding author upon reasonable request.
APPENDIX: ADDITIONAL SEMs
Due to the number of experiments executed, we felt it was best for readability to include the key SEMs in the main body of text, with additional SEMs for each experiment in this Appendix. In the Appendix figures, column I is the SEM from the main text, column II is a wider field of view image of the center of the vortex, and column III is an image of one edge of the metasurface at the transition from small nanoposts to large nanoposts. More obvious in these additional images is the fraction of small nanoposts that ultimately resolve across the entire device after the whole PL process is complete. As such, Fig. 11 demonstrates the control metasurfaces. Figure 12 demonstrates the split exposure metasurfaces. Figure 13 demonstrates the thinner PR metasurfaces. Figure 14 demonstrates the diluted development metasurfaces. Figure 15 demonstrates the split, exposure, thinner PR metasurfaces. Figure 16 demonstrates the split exposure, diluted development metasurfaces. Figure 17 demonstrates the thinner PR, diluted development metasurfaces. Finally, Fig. 18 demonstrates the split exposure, thinner PR, diluted development metasurfaces.