Tilted ion implantation (TII) is experimentally demonstrated to be a promising approach to pattern features smaller than pre-existing mask features on the surface of a wafer substrate. A key to this approach is a substantial change in the etch rate of a thin masking layer by TII. Fifteen degrees-tilted Ar+ implantation into a 10 nm-thick thermally grown silicon dioxide (SiO2) masking layer at a dose of 3 × 1014 cm−2 enhances its etch rate in dilute hydrofluoric acid solution by a factor of approximately 9. The features defined by TII are shown to be self-aligned to the pre-existing mask features and to have critical dimensions that can be adjusted by changing the implant tilt angle (θ) and/or geometrical dimensions of the pre-existing mask features. In this work, trenches of width as small as ∼9 nm and sub-20 nm holes are achieved by TII-enhanced patterning.
I. INTRODUCTION
Scaling of integrated circuit (IC) feature sizes beyond the resolution limit of immersion lithography has been enabled by multiple-patterning techniques.1–3 However, their significant incremental cost is of concern for further increasing the density of transistors on an IC chip.2–4 Although so-called next-generation lithography techniques such as extreme ultraviolet lithography, directed self-assembly, and nanoimprint lithography have been extensively investigated, technical challenges hinder their practical application in high-volume manufacturing (HVM).5–9 Therefore, a more cost-efficient approach is needed to sustain Moore's law.
Tilted ion implantation (TII) recently has been proposed as a cost-efficient technique for defining sublithographic features, as illustrated in Fig. 1.10–12 By using TII in conjunction with patterned photoresist (PR) and/or hard-mask features formed on the surface of a wafer substrate, sublithographic implanted regions aligned to the PR/hard-mask features can be formed. A substantial difference in etch rates of implanted versus unimplanted regions allows for the implanted regions to be distinguished during a subsequent etch process step, so that the thin masking layer is patterned with sublithographic features. In this paper, the versatility of this technique for forming sublithographic features and pitch-halving is discussed.
(Color online) Schematic cross-sections illustrating the concept of TII-enhanced patterning. (a) Mask features serve to block incoming ions (whose trajectories are indicated by the arrows) from reaching a thin masking layer coating the surface of the wafer substrate. (b) The implanted region of the thin masking layer has a dimension x that is smaller than the mask-defined feature of size l.
(Color online) Schematic cross-sections illustrating the concept of TII-enhanced patterning. (a) Mask features serve to block incoming ions (whose trajectories are indicated by the arrows) from reaching a thin masking layer coating the surface of the wafer substrate. (b) The implanted region of the thin masking layer has a dimension x that is smaller than the mask-defined feature of size l.
II. EXPERIMENT
A. SiO2 etch rate enhancement by Ar+ implantation
Previous work has shown that the etch rate of silicon dioxide (SiO2) in hydrofluoric acid (HF) solution can be significantly enhanced by ion implantation, if the damage induced is greater than a certain threshold level (e.g., 3 × 1021 cm−3 for SiO2).13 For the purpose of patterning fine features in a SiO2 masking layer, the layer thickness should be very thin to avoid significant lateral etching during the postimplantation wet etch process. Thus, the effect of TII on the etch rate of ultrathin SiO2 layers is investigated in this work. Figure 2 plots the measured etch rate in dilute hydrofluoric (DHF) acid solution (200:1 H2O:HF) for 10 nm-thick thermally grown SiO2 layers. Ar+ implantation was performed at 15° tilt angle, 3.0 keV acceleration energy, and 3 × 1014 cm−2 dose. Clearly, the etch rate is significantly enhanced, by a factor of ∼9, for the implanted SiO2 layer versus the unimplanted SiO2 layer. By comparing the etch rate of the implanted SiO2 layer against the simulated damage concentration profile obtained using the stopping and range of ions in matter (SRIM) simulation,14 it can be seen that the etch rate correlates well with structural damage induced by TII.
(Color online) Measured etch rate (left y-axis) of 10 nm-thick thermal SiO2 layers in DHF solution, as a function of depth. Simulated damage (right y-axis) in a 10 nm-thick SiO2 layer induced by tilted ion implantation. [The experimental and simulated Ar+ implant conditions are the same: 15° tilt angle, 3.0 keV acceleration energy, and 3 × 1014 cm−2 dose. SRIM simulation was performed with full damage cascades (Refs. 13 and 14)].
(Color online) Measured etch rate (left y-axis) of 10 nm-thick thermal SiO2 layers in DHF solution, as a function of depth. Simulated damage (right y-axis) in a 10 nm-thick SiO2 layer induced by tilted ion implantation. [The experimental and simulated Ar+ implant conditions are the same: 15° tilt angle, 3.0 keV acceleration energy, and 3 × 1014 cm−2 dose. SRIM simulation was performed with full damage cascades (Refs. 13 and 14)].
B. Hard-mask formation
To demonstrate the applicability of TII for defining nanometer-scale features, spacer lithography3 was used in conjunction with conventional 248 nm deep-ultraviolet (DUV) lithography to form amorphous silicon (a-Si) hard-mask features with sub-100 nm dimensions over a thermally oxidized silicon wafer substrate, as shown in Fig. 3. After the a-Si hard-mask layer was deposited onto the thin thermal SiO2 layer by low-pressure chemical vapor deposition (LPCVD), a layer of low-temperature-deposited oxide (LTO) was deposited to serve as a hard-mask layer for patterning the a-Si hard-mask layer. Then, a sacrificial layer of a-Si was deposited and patterned by DUV lithography, after which sub-100 nm-wide LTO spacers were formed along the sidewalls of the patterned sacrificial a-Si by conformal deposition (LPCVD) and reactive ion etching (RIE) [Figs. 3(a) and 3(d)]. Next, the sacrificial a-Si features were removed by a selective RIE process [Figs. 3(b) and 3(e)] and then the spacers served as a mask for RIE of the LTO hard-mask layer. Finally, the pattern of the spacers was transferred to the a-Si hard-mask layer with the aid of the LTO hard-mask [Figs. 3(c) and 3(f)].
(Color online) Cross-sectional schematics [(a)–(c)] and scanning electron microscope images [(d)–(f)] illustrating the spacer lithography process used to form sublithographic a-Si hard-mask features.
(Color online) Cross-sectional schematics [(a)–(c)] and scanning electron microscope images [(d)–(f)] illustrating the spacer lithography process used to form sublithographic a-Si hard-mask features.
III. RESULTS AND DISCUSSION
A. Sublithographic patterning
From Fig. 1, it can be seen that the TII-defined feature size (x) is determined by the implant tilt angle (θ) as well as the mask feature spacing (l), effective height (h), and sidewall angle (α)
Figure 4 shows technology computer-aided design (TCAD) simulations of the implanted Ar profile within the thin SiO2 masking layer, for different tilt angles. As θ increases from 5° to 25°, x decreases from ∼200 to 100 nm.
(Color online) Effect of implant tilt angle θ on TII-defined feature size x, for fixed a-Si hard-mask geometry (l = 220 nm, h = 260 nm, α = 90°). [(a) and (b)] Contour plots of Ar+ concentration (cm−3) and (c) extracted Ar concentration as a function of location between the a-Si hard-mask features. (The origin corresponds to the right edge of the left a-Si hard mask feature.)
(Color online) Effect of implant tilt angle θ on TII-defined feature size x, for fixed a-Si hard-mask geometry (l = 220 nm, h = 260 nm, α = 90°). [(a) and (b)] Contour plots of Ar+ concentration (cm−3) and (c) extracted Ar concentration as a function of location between the a-Si hard-mask features. (The origin corresponds to the right edge of the left a-Si hard mask feature.)
Figures 5(a) and 5(b) show experimental results obtained with Ar+ implant tilt angles of 15° and 20°, respectively. These samples were subjected to DHF etch followed by RIE of the crystalline silicon (c-Si) substrate, which allows the implanted regions to be easily distinguished. The a-Si hard mask for the sample shown in Fig. 5(a) was not patterned with the aid of a LTO hard-mask layer; therefore, it was etched during the Si RIE process. Taking this into consideration, the angle measured from the edge of the remaining a-Si hard-mask feature to the edge of the etched c-Si region matches the expected value of 15°. In addition, the length of the sub-lithographic feature defined by TII (i.e., x = ∼156.3 nm) corresponds well with the simulation result shown in Fig. 4(a). The sample shown in Fig. 5(b) had a thinner a-Si hard-mask layer which was patterned using a LTO hard-mask. After all of the process steps, ∼24.2 nm-thick LTO remained on top of the a-Si hard-mask features. The angle measured from the edge of the remaining LTO hard-mask feature to the edge of the unetched c-Si region matches the expected value of 20°.
Cross-sectional SEM images of samples comprising a 10 nm-thick SiO2 masking layer and a-Si hard-mask features, after tilted Ar+ implantation, DHF etch and Si RIE process steps. The Ar+ implant conditions were: 3.0 keV acceleration energy, 3 × 1014 cm−2 dose, (a) 15° and (b) 20° tilt angle. (i) corresponds to etched c-Si regions, (ii) corresponds to unetched c-Si regions protected during the Si RIE process by the (unimplanted portion of the) SiO2 masking layer, and (iii) corresponds to a-Si hard-mask features.
Cross-sectional SEM images of samples comprising a 10 nm-thick SiO2 masking layer and a-Si hard-mask features, after tilted Ar+ implantation, DHF etch and Si RIE process steps. The Ar+ implant conditions were: 3.0 keV acceleration energy, 3 × 1014 cm−2 dose, (a) 15° and (b) 20° tilt angle. (i) corresponds to etched c-Si regions, (ii) corresponds to unetched c-Si regions protected during the Si RIE process by the (unimplanted portion of the) SiO2 masking layer, and (iii) corresponds to a-Si hard-mask features.
B. Self-alignment to pre-existing mask features
Figure 6 shows a plan-view SEM image of a sample with etched c-Si features defined by 15° TII. It can be seen that these features are self-aligned to the pre-existing a-Si hard-mask features, i.e., the shape of the a-Si hard-mask line edge is reproduced with good fidelity.
Plan-view SEM showing the self-aligned nature of TII-patterned features, especially at the black-circled area. (i) Etched c-Si regions, (ii) unetched c-Si regions, and (iii) a-Si hard-mask features.
Plan-view SEM showing the self-aligned nature of TII-patterned features, especially at the black-circled area. (i) Etched c-Si regions, (ii) unetched c-Si regions, and (iii) a-Si hard-mask features.
C. Pitch-halving
Double tilted (positive-angle and negative-angle) Ar+ implantation was performed on another sample to demonstrate the feasibility of pitch-halving by TII-enhanced patterning. The implant conditions were the same as for the sample of Fig. 5(a) except that two implants were performed, one at θ = 15° and the other at θ = −15°. Figure 7(a) shows the results obtained for different values of a-Si hard-mask spacing (l). Considering that l is ∼61.4 nm for the leftmost set of a-Si features, the local half-pitch of the etched c-Si is ∼20.5 nm. For the rightmost set of a-Si features with smaller l in Fig. 7(a), there is no feature defined by the 15° implant; this is likely due to asymmetry of the hard-mask spacers, i.e., variations in and α [cf. Eq. (1)] between the left spacer and the right spacer. Figure 7(b) shows a set of a-Si hard-mask features with much wider spacing so that the implanted regions overlap, i.e., the central region was subjected to double tilted Ar+ implantation. The 50 s DHF dip was insufficient to completely remove singly implanted regions of the SiO2 masking layer [total implanted dose = 3 × 1014 cm−2 (i)], but sufficient to completely remove the doubly implanted region [total implanted dose = 6 × 1014 cm−2 (i)]. This is not surprising given that the enhancement in etch rate of SiO2 is dependent on the amount of structural damage and hence the implant dose.13 These results indicate that the TII technique can be used to define various patterns by adjusting the implant dose and masking-layer etch time.
Cross-sectional SEM images showing the feasibility of double TII for double-patterning. Ar+ implantation was performed at positive and negative tilt-angles (±15° with 3.0 keV acceleration energy and 3 × 1014 cm−2 dose). Afterward the samples were etched in DHF for (a) 75 s and (b) 50 s and subjected to Si RIE. (i) Etched c-Si regions, (ii) unetched c-Si regions, and (iii) a-Si hard-mask features.
Cross-sectional SEM images showing the feasibility of double TII for double-patterning. Ar+ implantation was performed at positive and negative tilt-angles (±15° with 3.0 keV acceleration energy and 3 × 1014 cm−2 dose). Afterward the samples were etched in DHF for (a) 75 s and (b) 50 s and subjected to Si RIE. (i) Etched c-Si regions, (ii) unetched c-Si regions, and (iii) a-Si hard-mask features.
D. Demonstration of sub-10 nm features
Figure 8 shows that the local density of etched c-Si features is double that of the a-Si hard-mask features. In addition, sub-10 nm (local) half-pitch features are achieved, self-aligned to the a-Si hard-mask features. In short, the TII-enhanced patterning approach can be used to define sub-10 nm features.
Plan-view SEM image showing that the edges of the etched c-Si regions are self-aligned to the edges of the a-Si hard-mask features. Double-tilted ion implantation is effective for doubling the density of features.
Plan-view SEM image showing that the edges of the etched c-Si regions are self-aligned to the edges of the a-Si hard-mask features. Double-tilted ion implantation is effective for doubling the density of features.
E. Contact hole formation
TII-enhanced patterning also can be used in conjunction with nonlinear pre-existing masking features on the surface of a wafer substrate. Figure 9 shows results obtained with round hard-mask features (i.e., holes) and a single Ar+ implant at 3.0 keV acceleration energy, 3 × 1014 cm−2 dose, and 15° tilt angle. Figure 9(b) shows a ∼18.6 nm ellipse-shaped etched hole defined by TII. (It should be noted that the shape of the hard mask can be adjusted to achieve a round TII-defined hole.)
(Color online) [(a) and (b)] SEM images showing that TII-enhanced patterning can be used to define sublithographic holes. [The residues of SiO2 masking layer (ii) outside of the a-Si hard-mask features (iii) are attributed to incomplete removal of the sacrificial a-Si layer shown in Fig. 3(a).] (c) Schematic illustrations showing how TII defines a sublithographic ellipse-shaped hole within a lithographically defined round hole.
(Color online) [(a) and (b)] SEM images showing that TII-enhanced patterning can be used to define sublithographic holes. [The residues of SiO2 masking layer (ii) outside of the a-Si hard-mask features (iii) are attributed to incomplete removal of the sacrificial a-Si layer shown in Fig. 3(a).] (c) Schematic illustrations showing how TII defines a sublithographic ellipse-shaped hole within a lithographically defined round hole.
IV. CONCLUSION
TII is demonstrated to be an effective approach for defining sublithographic features and increasing the density of features self-aligned to pre-existing mask features. The TII-defined feature dimensions are controlled by the implant tilt angle, and also depend on the geometrical dimensions of the pre-existing mask features. Using double TII, the density of features can be doubled; local half-pitch as small as ∼9.0 nm was experimentally demonstrated. Because ion implantation is a well-established and relatively low cost process, TII-enhanced patterning can be easily adopted in HVM to help extend Moore's law.
ACKNOWLEDGMENTS
The authors thank Applied Materials, Inc. and Lam Research Corporation for supporting this work. The tilted ion implantation processes were performed by Axcelis Technologies, Inc. The wafers were processed in the Marvell Nanofabrication Laboratory at the University of California, Berkeley. This work was supported in part by the National Science Foundation within the Directorate for Engineering through the Center for Energy Efficient Electronics Science under Award No. 0939514.