SiN deposition at low temperatures using the plasma-enhanced atomic layer deposition (PEALD) process is gaining momentum. A high-quality SiN layer deposited using a lower thermal budget and low wet etch rates are desired for front-end-of-line applications in semiconductor industries. In this study, deposition of PEALD SiN is investigated by utilizing a highly reactive trisilylamine silicon precursor and three different reaction partners for a nitrogen precursor. The quality of PEALD SiN layers is compared with the reference standard, low-pressure chemical vapor deposition SiN layers. The properties of different SiN layers are interpreted using FTIR and XPS material characterization techniques. Furthermore, the wet etch rates of as-deposited and annealed PEALD SiN layers are investigated. Finally, the conformality of PEALD SiN layers is assessed in trench and horizontal high aspect ratio structures.
I. INTRODUCTION
Silicon nitride (SiN) is one of the widely used materials in the microelectronic industry. It is used as hard etch masks,1 etch stop layers,2 trench liners,3,4 stress compensation layers,5 optical waveguide materials,6 and for spacer formation1 in the front-end-of-line (FEOL) processes for various gate CMOS architectures and advanced 3D-RF-devices such as heterojunction bipolar transistors. Miniaturization of devices has also put tough constraints on the SiN layers. Conformality, stoichiometry, film density, and low wet etch rates are some of the properties expected from SiN layers.7–9 SiN can be deposited using well-known thin film deposition techniques such as low-pressure chemical vapor deposition (LPCVD), plasma-enhanced chemical vapor deposition (PECVD), thermal atomic layer deposition (TALD), and plasma-enhanced atomic layer deposition (PEALD).10 LPCVD results in high-quality layers but at the cost of a very high thermal budget.2 PECVD results in high-quality layers as well but comes with the disadvantage of poor step coverage. The deposition temperatures of PECVD SiN can be much lower, resulting in a lower thermal budget compared to LPCVD SiN. However, the PECVD SiN process requires high-power RF which leads to plasma damage in the underlying device structures due to the ion bombardment during the deposition step.3 On the other hand, the TALD process has a limitation of depositing films with high impurity concentrations.10 As an appropriate alternative, the PEALD process offers several advantages such as lower deposition temperatures, uniformity, conformality of the deposited layers, and good step coverage.10
Apart from the used deposition techniques, the Si and N precursor sources used for the growth of SiN plays a major role in obtaining high-quality films. Halide-based Si precursors have been reported with conformal SiN films. However, the presence of halide impurities in the layers and the halide by-products are corrosive in nature, which is undesired.10 Furthermore, aminosilane and silylamine precursors have been reported with carbon and halogen-free SiN depositions.2 In addition to film properties, conformal growth can be obtained when the precursor dosage has enough residence time to react with the entire surface area of the substrate. In principle, highly reactive Si and N precursor sources are desired to obtain a higher growth per cycle (GPC).2,11 Trisilylamine (TSA) is one of the carbon and halogen-free Si precursors, and it has further advantages such as being very reactive and having a high vapor pressure.10,11 It also helps in achieving higher GPC due to its compact molecular structure consisting of three Si atoms and one N atom.12 Furthermore, gases such as N2,13 NH3,14 or a mixture of N2 and H2,15 along with plasma energy are reported under the nitrogen precursor source for SiN deposition.2,11
In this study, we deposit the PEALD SiN using TSA as the silicon precursor and plasma gases such as N2, NH3, or a mixture of N2 and H2 gases as the nitrogen precursors in order to grow high-quality SiN layers for various industry applications. Many research groups have reported the growth of SiN using TSA and the aforementioned plasma gases. However, as a novelty, we investigate the SiN growth using three different plasma gases. The main goal of this study was to develop a high throughput, economical, low temperature, and conformal PEALD SiN process, which can be used for FEOL applications such as photonics, MOSFETs, and HBTs as the optical waveguide material, gate spacers, and hard masks, respectively.
To achieve the same, the PEALD deposition parameters that could be varied are identified, and the experiments are systematically carried out by varying only one parameter at a time. The process parameters such as deposition temperature, N2 flow, NH3 flow, TSA precursor feed time, etc., are among the many other parameters which are varied. The quality of the deposited SiN layers is investigated regarding the layer uniformity, refractive index, step coverage, conformality, the chemical composition of the layers, etc., through various metrology techniques. Additionally, the PEALD SiN layers are analyzed using Fourier-transform infrared (FTIR) spectroscopy to investigate their suitability as optical waveguide materials. The wet etch rates of PEALD SiN layers are compared with LPCVD SiN layers to evaluate their potential as a highly selective wet etch mask.
II. EXPERIMENT
The experiments are carried out on an ASM Polygon® 8200 ALD cluster tool. The tool is capable of handling 200 mm silicon wafers. All the SiN depositions are performed on 200 mm single crystal silicon wafers of orientation (100). The precursors are fed to the reaction chamber through a shower head assembly. Furthermore, direct plasma is used for the deposition, i.e., the plasma is generated in the reaction chamber within close proximity of the wafer. Unless explicitly mentioned, the depositions are performed at 250 °C.
Trisilylamine is a high vapor pressure liquid precursor and its chemical structure is schematically represented as shown in Fig. 1. Its chemical properties are listed in Table I. The TSA precursor vessel is maintained at clean room temperature, which is approximately 21 °C. The vapor pressure of TSA is approximately ∼315 Torr at 25 °C. Handling such high vapor pressure precursors is quite tricky in a clean room. If a carrier gas is used, there could be a risk of backflow of the precursor gases into the carrier gas line. Furthermore, the precursor consumption has to be low in order to have an economical deposition process. Hence, a vapor draw scheme is used to deliver the precursor from its vessel to the process reaction chamber. The plasma required for the nitrogen precursor pulse is generated using an N2/NH3/mixture of N2 and H2 gases. Argon gas is used for the purge steps between the precursor pulses. The temperature window of the TSA-based PEALD SiN process was found to be between 100 and 350 °C. Below 100 °C, the deposition did not take place due to the low reactivity of the precursor. Above 350 °C, the deposition did not take place due to the desorption of the precursor.
Schematic of the chemical structure of TSA. The bond lengths are not drawn to the scale.
Schematic of the chemical structure of TSA. The bond lengths are not drawn to the scale.
Main chemical properties of trisilylamine.
Description . | Properties . |
---|---|
Boiling point | 52 °C |
Vapor pressure | 109 Torr at 0 °C |
315 Torr at 25 °C | |
Density | 0.895 g/cm3 at 20 °C |
Description . | Properties . |
---|---|
Boiling point | 52 °C |
Vapor pressure | 109 Torr at 0 °C |
315 Torr at 25 °C | |
Density | 0.895 g/cm3 at 20 °C |
The optimum values of the variable process parameters for SiN deposition were found through a set of experiments. These values are kept constant while varying only one parameter at a time. The process parameters for each type of plasma gas are illustrated in Sec. III. The deposited SiN layers are material characterized using ellipsometry, energy dispersive x-ray (EDX) analysis with transmission electron microscopy (TEM), FTIR spectroscopy, and x-ray photoelectron spectroscopy (XPS) techniques.
An applied material-based single wafer Radiance Centura tool is used for the postdeposition annealing (PDA) of the SiN layers. Rapid thermal annealing was performed at FEOL compatible temperatures to investigate the possible material modifications.16 The tool is dedicated to front-end-of-line usage. The SiN layers are rapid thermal annealed (RTA) using spike and soak-anneal techniques. The standard RTA recipes at different temperatures (650, 850, and 1000 °C) from the CMOS process flow are used. Finally, the wafers are annealed in nitrogen and 1% oxygen atmosphere.
All the depositions, inline-measurements, and wet etchings are performed on a wafer scale level. The wet etch rates are evaluated in etchants such as hydrofluoric (HF) acid, hot phosphoric (PHO) acid, and buffered oxide etchant (BOE). The etching of PEALD SiN films is carried out in a semi-automatic immersion tool from HMR Reinraum und Labortechnik. It is a batch immersion tool consisting of two chemical etching baths and two rinsing baths with distilled water for separate rinsing after each wet chemical etching step. The etch rate of SiN was tested in a HF bath (HF: H2O = 1:100) and a H3PO4 bath at 160 °C. Additionally, the same type of immersion tool is used to determine the etch rate of SiN in a buffered HF bath also called BOE, which consists of NH4F: HF: H2O chemical components.
III. RESULTS AND DISCUSSION
A. Nitrogen (N2) as the precursor gas
Since one of the aims of this work is to develop an economical PEALD SiN process, the consumption of TSA has to be kept minimum. To achieve the same, it is necessary to maintain the minimum valve opening time while obtaining a good surface coverage. The gate valve opening time of TSA is varied from 5 to 50 ms. The process parameters utilized for PEALD SiN growth by using TSA and N2 reaction partners are illustrated in Table II. The precursor pulse time, which is essentially the TSA gate valve opening time, is varied. The deposition is carried out at precursor pulse times of 5, 6, 8, 10, 20, and 50 ms.
List of process parameters for PEALD SiN deposition by using N2 plasma gas.
Parameters . | Optimum values . | Parameters . | Optimum values . |
---|---|---|---|
TSA pulse time | Varied | Deposition temperature | 250 °C |
TSA purge time | 1 s | Number of deposition cycles | 100 |
N2 pulse time/plasma exposure time | 3 s | N2 flow | 500 SCCM |
N2 purge time | 1 s | NH3 flow | — |
RF power | 50 W | H2 flow | — |
Parameters . | Optimum values . | Parameters . | Optimum values . |
---|---|---|---|
TSA pulse time | Varied | Deposition temperature | 250 °C |
TSA purge time | 1 s | Number of deposition cycles | 100 |
N2 pulse time/plasma exposure time | 3 s | N2 flow | 500 SCCM |
N2 purge time | 1 s | NH3 flow | — |
RF power | 50 W | H2 flow | — |
The variation of SiN layer thickness as a function of pulse time is depicted in Fig. 2(a). From the minimum opening time of 5 ms, the growth rate increases strongly until it reaches a precursor saturation dose of 10 ms. The deposition of SiN takes place even at low pulse times such as 5 ms due to the high vapor pressure of TSA. However, due to the incomplete surface coverage, a higher standard deviation in thickness is observed as illustrated in the inset of Fig. 2(a). In contrast, the pulse time of 10 ms is high enough to obtain a precursor saturation dose and, thus, an even surface coverage of TSA is possible. The thickness standard deviation of 0.6% at 10 ms pulse time supports the claim. Almost no change is observed in the refractive index with the change in pulse times, except at 5 ms, which could be due to measurement artifact. The SiN layer thickness and its standard deviation increase slightly with the increase in TSA pulse time. This could be due to insufficient purge time. The 10 ms TSA pulse time is on the border of unsaturated and saturated precursor pulse time regimes. In the saturated regime, the increase in thickness signifies the addition of a small CVD component. Hence, a higher deposition rate of ∼0.35 nm/cycle is observed as shown in Fig. 2. The TSA pulse time was inline with our goal requirements of an economical PEALD SiN process. The TSA purge time was not extended beyond 1 s in order to maintain the throughput of the PEALD process. The optimum values are chosen based on the above-mentioned factors.
Dependence of the SiN layer (a) growth per cycle with its standard deviation in the inset and (b) refractive index on the TSA precursor pulse time.
Dependence of the SiN layer (a) growth per cycle with its standard deviation in the inset and (b) refractive index on the TSA precursor pulse time.
Figure 3 shows the variation in the thickness of the SiN layers with an increase in the number of cycles. The SiN films are deposited on 200 mm blanket Si wafers by using different numbers of deposition cycles such as 10, 20, 50, and 100 cycles. The thickness of the layers obtained at different deposition cycles is fitted linearly, which illustrates the linear growth of SiN with cycle numbers. The R-square value supports the good fit. The intercept obtained from the linear fit is about 1 nm, which is caused by native oxide, since the deposition is performed without a HF dip. The growth rate determined from the linear fit is 0.35 nm/cycle, which is very high for an ALD process. The reason could be due to incomplete decomposition of the TSA precursor with these process conditions.17
Linear growth rate of SiN films with increasing number of deposition cycles.
One of the important applications of SiN in FEOL process flows is its application as a hard mask layer for SiO2 wet chemical etch. Therefore, the aim is to deposit SiN with a very low wet etch rate in HF-based solutions. The wet etch rates of SiN layers deposited with nitrogen gas as a reaction partner annealed at different temperatures are displayed in Table III. The obtained etch rates are very high and, in particular, for the as-deposited SiN layer. As a matter of fact, the etch rate of the as-deposited SiN layer is higher than the TEOS-based SiO2. Only after a PDA at 1000 °C, the value of the etch rate is acceptably low.18 This indicates that the as-deposited PEALD SiN deposited by using TSA and N2 as reaction partners has a low material density. The PDA of SiN densifies the layers, resulting in a low etch rate.13 Furthermore, it has also been previously reported that the wet etch rates are affected by the amount of hydrogen content in the SiN films,19 the density of the films,19 and the ratio of N/Si in the SiN films.19
Wet etch rates of PEALD SiN layers (deposited using TSA and N2 plasma gas), which are postdeposition annealed at various temperatures. The parameters mentioned in Table II along with the TSA pulse time of 10 ms are used for the deposition.
Anneal temperature (°C) . | HF 1:100 etch rate (nm/min) . |
---|---|
As-dep | 35.04 |
650 | 9.72 |
850 | 7.86 |
1000 | 1.98 |
Anneal temperature (°C) . | HF 1:100 etch rate (nm/min) . |
---|---|
As-dep | 35.04 |
650 | 9.72 |
850 | 7.86 |
1000 | 1.98 |
B. Ammonia (NH3) as the precursor gas
The optimum parameter values obtained from sec. A is utilized when NH3 is used as the plasma gas for SiN deposition. The process parameters are illustrated in Table IV. The NH3 flow rate is varied while keeping all the other parameters in Table IV constant. Irrespective of the NH3 flow rate, the resulting SiN layers had a very low GPC, greater than 5% standard deviation for thickness uniformity, and lower refractive indices. The GPC was as low as ∼0.0045 nm/cycle and the highest refractive index obtained was 1.924. The lower GPC can be attributed to the fact that the reactive species of NH3 plasma inhibit the reactive sites available for the interaction of the precursor in the subsequent cycle.20 Furthermore, the SiN layers grown using TSA and NH3 as reaction partners are material characterized using FTIR spectroscopy and XPS analysis. The reactive species of NH3 plasma gas, mainly N and NHx, have short lifetimes due to which a longer exposure time is necessary to stabilize the plasma and obtain saturated reactive species.21 As per literature, increasing the plasma exposure time as high as 20 s would result in good-quality SiN layers.21 However, this did not fit our primary goal of high throughput and economical PEALD SiN process. Hence, SiN deposition using NH3 plasma gas was unfulfilling.
List of process parameters for PEALD SiN deposition by using NH3 plasma gas.
Parameters . | Optimum values . | Parameters . | Optimum values . |
---|---|---|---|
TSA pulse time | 10 ms | Deposition temperature | 250 °C |
TSA purge time | 1 s | Number of deposition cycles | 100 |
NH3 pulse time/plasma exposure time | 3 s | N2 flow | — |
NH3 purge time | 1 s | NH3 flow | Varied |
RF power | 50 W | H2 flow | — |
Parameters . | Optimum values . | Parameters . | Optimum values . |
---|---|---|---|
TSA pulse time | 10 ms | Deposition temperature | 250 °C |
TSA purge time | 1 s | Number of deposition cycles | 100 |
NH3 pulse time/plasma exposure time | 3 s | N2 flow | — |
NH3 purge time | 1 s | NH3 flow | Varied |
RF power | 50 W | H2 flow | — |
C. A mixture of nitrogen (N2) and hydrogen (H2) as the precursor gas
The SiN layers deposited using N2 plasma gas had a very high wet etch rate while the GPC of SiN from NH3 plasma gas was very low. Therefore, the SiN layers deposited by using N2 and NH3 plasma gases were unfulfilling and, a combination of N2 with H2 plasma gases was investigated.
Utilizing a mixture of hydrogen and nitrogen as plasma gas has been already reported in the literature.15 However, this study aims to develop a high throughput PEALD SiN process with low wet etch rate SiN layers. The process parameters utilized for the deposition of SiN layers using N2 and H2 plasma gases are illustrated in Table V.
List of process parameters for PEALD SiN deposition by using a mixture of N2 and H2 plasma gases.
Parameters . | Optimum values . | Parameters . | Optimum values . |
---|---|---|---|
TSA pulse time | 10 ms | Deposition temperature | 250 °C |
TSA purge time | 1 s | Number of deposition cycles | 100 |
(N2 + H2) pulse time/plasma exposure time | 3 s | N2 flow | Varied |
(N2 + H2) purge time | 1 s | NH3 flow | — |
RF power | 50 W | H2 flow | 500 SCCM |
Parameters . | Optimum values . | Parameters . | Optimum values . |
---|---|---|---|
TSA pulse time | 10 ms | Deposition temperature | 250 °C |
TSA purge time | 1 s | Number of deposition cycles | 100 |
(N2 + H2) pulse time/plasma exposure time | 3 s | N2 flow | Varied |
(N2 + H2) purge time | 1 s | NH3 flow | — |
RF power | 50 W | H2 flow | 500 SCCM |
The SiN layers are deposited by keeping the hydrogen flow (500 SCCM) constant and varying the nitrogen flow. The resulting layer thickness and the refractive index dependence on the N2 flow are shown in Fig. 4. The hydrogen flow of 500 SCCM is kept constant. The number of deposition cycles used is 100. For 85 SCCM nitrogen flow, the resulting GPC was 0.14 nm and the refractive index was 2.65. By increasing the nitrogen flow to 120 SCCM, the GPC increases to 0.16 nm and the corresponding refractive index is 2.26. With a further increase of the nitrogen flow to 170 SCCM, the GPC slightly decreases to 0.14 nm and the refractive index is reduced to 2.20, which is an acceptable value for FEOL applications. The hydrogen radicals created in the plasma act as a reducing agent for the chemisorbed TSA chemistry.22 The decrease in the GPC of the SiN layers deposited using N2 and H2 plasma gas mixture compared to N2 plasma gas supports the claim. With further increase of nitrogen flow, the GPC and the refractive index decrease. For further experiments, the nitrogen flow of 170 SCCM is chosen.
Dependence of the SiN layer (a) growth per cycle and (b) refractive index on the N2 flow where a mixture of N2 and H2 gases is used as the precursor gas.
Dependence of the SiN layer (a) growth per cycle and (b) refractive index on the N2 flow where a mixture of N2 and H2 gases is used as the precursor gas.
A couple of wafers were deposited with these process conditions to investigate the SiN wet etch rates. The as-deposited and RTP annealed (at 1000 °C) SiN layers were etched with different etch times (1, 2, and 3 min) to determine the etch rate by linear fit with higher accuracy (see Fig. 5). The etch rate in HF 1:100 is 0.51 nm/min for the as-deposited SiN (circles in Fig. 5) and 0.38 nm/min for 1000 °C annealed SiN layers (squares in Fig. 5). The etch rate for the as-deposited SiN material is surprisingly low and close to the LPCVD SiN etch rate value of 0.3 nm/min. Annealing did not decrease the etching rate much, only by 25%.
Comparison of the as-deposited and postdeposition annealed SiN removal in HF etchant. The optimum parameter values mentioned in Table II are used for SiN deposition, except for N2 flow = 170 SCCM and number deposition cycles = 300.
Comparison of the as-deposited and postdeposition annealed SiN removal in HF etchant. The optimum parameter values mentioned in Table II are used for SiN deposition, except for N2 flow = 170 SCCM and number deposition cycles = 300.
The SiN layer with an etch rate of 0.5 nm/min in HF 1:100 is highly beneficial to use as a hard mask. Some applications require highly concentrated etch solutions like BOE to reduce the process times for the removal of large amounts of silicon dioxide. Therefore, BOE was also applied on the SiN layers to test the etch resistance. The resulting etch rate was 2.3 nm/min, which is very low considering that the etch rates of oxides are in the range of ten to hundreds of nanometers per minute.
Toward the end of a masked oxide etch sequence, it is necessary to remove the SiN mask layer using hot phosphoric acid. The etch rate of PEALD SiN (10 nm/min) is higher than that of LPCVD SiN (5 nm/min), which serves as an advantage for the PEALD SiN layer. It is worth mentioning that the etch rate of SiO2 in hot phosphoric acid is as low as 0.01 nm/min. The wet etch results of PEALD SiN and LPCVD SiN are summarized in Table VI.
Etch rates of as-deposited PEALD SiN and LPCVD SiN layers in various wet etchants. The optimum parameter values mentioned in Table V are used for PEALD SiN deposition, except for the number of deposition cycles = 300 and N2 flow = 170 SCCM.
Etchant . | PEALD SiN etch rate (nm/min) . | LPCVD SiN etch rate (nm/min) . |
---|---|---|
HF (1:100) | 0.50 | 0.3 |
BOE | 2.32 | 1.0 |
PHO | 10.05 | 5.0 |
Etchant . | PEALD SiN etch rate (nm/min) . | LPCVD SiN etch rate (nm/min) . |
---|---|---|
HF (1:100) | 0.50 | 0.3 |
BOE | 2.32 | 1.0 |
PHO | 10.05 | 5.0 |
D. Material characterization of SiN layers
The conformality of the PEALD process was investigated on the SiN layers deposited by using N2 + H2 as the reaction partners as shown in Fig. 6. The SiN layer was deposited on a trench structure with a depth of about 650 nm, on top of the LPCVD SiN structure as shown in Fig. 6(a). The silicon sidewalls are covered with thermal-grown SiO2. After the PEALD SiN layer deposition, a PEALD-SiO2 layer is deposited for better contrast in the TEM images. In this complex structure, the achieved sidewall coverage is 76%, which is much better than the PECVD SiN sidewall coverage. In Fig. 6(b), PEALD SiN was deposited on a horizontal high aspect ratio (HAR) structure. At the very end of the silicon opening, a coverage of 31% was observed. The reason for the reduction in the deposition rate in this horizontal feature could be due to the short lifetime of the reactive species which cannot reach the surfaces 500 nm away from the entrance of the silicon opening effectively.2,23
Conformality of SiN layers in (a) trench structure with an aspect ratio of ∼1 and (b) horizontal HAR structure with an aspect ratio of ∼7.
Conformality of SiN layers in (a) trench structure with an aspect ratio of ∼1 and (b) horizontal HAR structure with an aspect ratio of ∼7.
Figure 7 shows the FTIR spectra of all the investigated SiN layers: LPCVD, TSA + N2, TSA + NH3, TSA + (N2 + H2). The layers grown from each of the processes were 40 nm thick. The pronounced peak in Fig. 7(a) at 850 cm−1 is from the Si-N bond, which is missing for the TSA + NH3 SiN layers. The assumption is that the silicon is not bonded to nitrogen in the TSA + NH3 sample. The Si–N peak for the LPCVD material is the largest, indicating that the SiN layers largely consist of this kind of bindings. The layer thicknesses for LPCVD and TSA + (N2 + H2) samples are the same and, hence, the peak heights are directly comparable. The LPCVD SiN material is one of the standard SiN processes accepted by semiconductor industries. Hence, it is considered a reference standard for the PEALD SiN layers deposited in this study. Furthermore, the low wet etch rates of LPCVD SiN can be attributed to the number of Si–N bindings. TSA + (N2 + H2) samples show the second highest Si–N peak. The other two peaks in the spectra correspond to Si–H at 2200 cm−1 and N–H at 3300 cm−1. Interestingly, there are more Si–H bonds in the TSA + (N2 + H2) material than in LPCVD SiN. For the N–H peak, it is vice versa [refer to Fig. 7(b)]. The explanation could be that the TSA + (N2 + H2) sample is more Si-rich than the LPCVD material. In order to use PEALD SiN as an optical waveguide material, it is necessary to reduce the N–H bonds in the films.6 These bonds behave as absorption sites and result in propagation loss in SiN waveguides.6,24 This reduction in N–H bonds is achieved by the PEALD SiN layers deposited by using TSA + (N2 + H2) reaction partners.
FTIR spectroscopy of 40 nm thick SiN layers deposited using PEALD and LPCVD processes. (a) The absorption coefficient of different SiN layers measured from wavenumbers 250 to 4000 cm−1. (b) The absorption coefficient focused between wavenumbers 2000 and 3300 cm−1.
FTIR spectroscopy of 40 nm thick SiN layers deposited using PEALD and LPCVD processes. (a) The absorption coefficient of different SiN layers measured from wavenumbers 250 to 4000 cm−1. (b) The absorption coefficient focused between wavenumbers 2000 and 3300 cm−1.
Indeed, the Si content in the TSA + (N2 + H2) layer is a bit higher than for LPCVD SiN as proved by the XPS depth profile (see Fig. 8). The N/Si concentration for the TSA + (N2 + H2) layer [Fig. 8(c)] is 1.412, and for LPCVD SiN it is 1.464, indicating that the TSA + (N2 + H2) layer is silicon-rich compared to LPCVD SiN. Additionally, the TSA + (N2 + H2) sample also includes a trace of oxygen and carbon, which cannot be observed for the LPCVD layer. The TSA + N2 sample [Fig. 8(a)] looks similar to the TSA + (N2 + H2) [Fig. 8(c)], except for the shorter sputtering time due to its smaller layer thickness. The TSA + NH3 sample indicates that the layers deposited using NH3 as the reaction partner is not SiN, but instead, SiO2 as depicted in the XPS spectra of Fig. 8(b). Additionally, 29% silicon content, 69% oxygen content, 3% nitrogen, and 2% carbon were found in the TSA + NH3 sample deposited by using NH3 as the reaction partner. We speculate that these SiN films are porous in nature. The oxygen in the atmosphere diffuses and reacts with SiN during offline-characterization.
XPS analysis of 40 nm thick SiN layers deposited by using TSA as the silicon precursor and (a) N2, (b) NH3, or (c) a mixture of N2 and H2 as the nitrogen precursor. (d) XPS analysis of 40 nm thick SiN layers deposited using the LPCVD process.
XPS analysis of 40 nm thick SiN layers deposited by using TSA as the silicon precursor and (a) N2, (b) NH3, or (c) a mixture of N2 and H2 as the nitrogen precursor. (d) XPS analysis of 40 nm thick SiN layers deposited using the LPCVD process.
IV. CONCLUSIONS
The deposition of PEALD SiN layers is investigated using TSA as the silicon precursor and N2/NH3/a mixture of N2 and H2 as the nitrogen precursor. In the first set of experiments, TSA was combined with pure nitrogen gas as the reaction partner. The optimum opening time of the TSA valve was found to be 10 ms for the complete wafer coverage of the precursor pulse. The linear growth of the layer thickness with the number of deposition cycles showed a higher GPC for the combination of TSA + N2. The observed value of the refractive index is 1.85, which is relatively low. The determined wet etch rate in HF 1:100 is 35 nm/min, which is higher than for SiO2 and, hence, such SiN layers are not suitable for FEOL applications. The decrease in the etch rates of SiN layers after the PDA at moderate temperatures (650–850 °C) is also unacceptable because the etch rates of SiO2 are also reduced in the same ratio. Therefore, another reaction partner for the TSA was chosen. The SiN layers deposited by using NH3 as the reaction partner exhibited very low refractive indices and GPC (0.0045 nm). XPS analysis revealed that the analyzed layers are more oxides than nitrides.
Finally, the results obtained from TSA + (N2 + H2) combinations were promising. A maximum GPC of 0.16 nm with its corresponding refractive index of 2.26 is observed. The measured etch rates were low enough and in the same order as LPCVD SiN. In summary, for all the used etchants, HF 1:100, BOE, and hot PHO, the wet etch rates are roughly two times higher for TSA + (N2 + H2) PEALD SiN compared to LPCVD SiN. The advantage of the PEALD process is the deposition temperature of 250 °C, whereas LPCVD SiN requires a deposition temperature of 770 °C. The step coverage of PEALD SiN was investigated on two different structures. On the trench structures, a sidewall coverage of 76% was obtained. For the more complex horizontal HAR structures, a coverage of 31% was observed at the end of the horizontal opening.
Finally, an economical, high throughput, and low temperature PEALD SiN process was developed, which can be used for the FEOL applications.
For future experiments, a variation of the hydrogen flow is foreseen. With that, further optimization of the process conditions should be feasible. In addition, testing other kinds of precursors based on halide, iodide, etc., is also possible.
ACKNOWLEDGMENTS
This publication is partly funded by the Deutsche Forschungsgemeinschaft (DFG)” under Grant No. “SFB1461” and “Bundesministerium for Bildung und Forschung (BMBF)” under Grant No. “16FMD03.” The authors would like to acknowledge the cleanroom staff for support, Andreas Schubert for TEM analysis, Florian Bärwolf for XPS measurements, and Gudrun Kissinger for FTIR measurements.
AUTHOR DECLARATIONS
Conflict of Interest
The authors have no conflict to disclose.
Author Contributions
Marco Lisker: Conceptualization (lead); Investigation (equal); Writing – original draft (equal). Mamathamba Kalishettyhalli Mahadevaiah: Conceptualization (equal); Investigation (equal); Writing – review & editing (equal). Keerthi Dorai Swamy Reddy: Investigation (equal); Writing – original draft (equal).
DATA AVAILABILITY
The data that support the findings of this study are available from the corresponding author upon reasonable request.