Thin films of materials are critical components for most areas of sustainable technologies, making thin film techniques, such as chemical vapor deposition (CVD), instrumental for a sustainable future. It is, therefore, of great importance to critically consider the sustainability aspects of CVD processes themselves used to make thin films for sustainable technologies. Here, we point to several common practices in CVD that are not sustainable. From these, we offer a perspective on several principles for a sustainable, “Green CVD” philosophy, which we hope will spur research on how to make CVD more sustainable without affecting the properties of the deposited film. We hope that these principles can be developed by the research community over time and be used to establish research on how to make CVD more sustainable and that a Green CVD philosophy can develop new research directions for both precursor and reactor design to reduce the precursor and energy consumption in CVD processes.
I. INTRODUCTION
Chemical vapor deposition (CVD), including the time-resolved form atomic layer deposition (ALD), plays a vital role in several areas of technology: from depositing materials for modern electronics with highly engineered electrical properties to making hard and corrosion resistant protective coatings on cutting tools or biocompatible films for medical applications. The importance of CVD technology is reflected in the approximately $14–$15 billion USD industry (in 2020) of the CVD and ALD equipment markets,1 broken down in Fig. 1, which is dominated by the semiconductor and microelectronics area.
CVD and ALD equipment market size and segmentation in 2020, $14–$15 billion USD annual revenue as estimated by TECHCET CA LLC.
CVD and ALD equipment market size and segmentation in 2020, $14–$15 billion USD annual revenue as estimated by TECHCET CA LLC.
It must be pointed out that the sales value in CVD and ALD equipment and services in Fig. 1 does not directly translate to the amount of precursor chemicals and gases used, energy consumption, and output of waste materials. For instance, the CVD films required in the cutting tools and drills sector are considerably thicker, typically >1 μm, than the films deposited in the semiconductor and microelectronics sector, typically 1 μm, leading to a higher consumption of chemicals and generation of waste. In addition, the subequipment market for CVD precursors’ delivery systems and containers, gas supply, process gas abatement, vacuum pumps, and other utilities needed for high volume production of CVD films corresponds to an additional market segment, and we estimate it to be 15%−20% in annual revenue (approximately $2–$3 billion USD) of the annual equipment market. It is, therefore, important to emphasize that the segmentation only hints at the contribution to the overall use of energy, gases, chemicals, and output of waste products.
An analysis of the semiconductor and microelectronics industry alone shows that its annual revenue of precursors and chemicals for CVD and related methods of manufacturing thin films totaled $1.4 billion USD in 2020, about 2.5% of the total materials’ market value ($50 billion USD in 2020) in the semiconductor industry.1 To this market, bulk gases (e.g., Ar, He, N2, and O2) and chamber clean gases (e.g., NF3, see below) must be added. While this does not give a full picture of the number of molecules and energy consumed and waste generated by the semiconductor CVD industry, it gives a scale to the sector and a scope of the market growth when compared over time, and more importantly, the future growth of the CVD market.
Advanced and new materials are often highlighted as the key enablers to solving many of the challenges related to climate change and the transformation to a sustainable society. Materials science and technology will be essential for attaining several of the UN Sustainability Goals,2 e.g., Clean Water (goal 6), Clean Energy (goal 7), and Industrial Innovation (goal 9). The manufacturing of advanced and new materials must, of course, like any other manufacturing process, also be both sustainable and competitive, as stated in the UN Sustainability Goal 12. However, modern CVD processes are not always designed with a sustainability focus, often consuming large amounts of energy and using hazardous chemicals.
Given the essential role of CVD methods for a circular economy and a sustainable society—in the production of the electronic devices needed for electric vehicles, energy storage in the form of lithium–ion batteries, energy-efficient lighting and communication, and solar cells, further development is needed toward achieving more energy- and molecule-efficient processes. To do so, the full lifecycle of processes and products must be considered. Combined with the European industrial sustainability acts, Suschem3 and Manufuture4 focusing on the sustainable use of chemicals and sustainable manufacturing, respectively, and as stated above, in accordance with the UN Sustainability Goals, more sustainable CVD processes need to be developed. This need has recently been highlighted by the general finding that energy consumption and greenhouse gas emissions per 300 mm wafer for CMOS fabrication—and the included CVD processing steps in particular—increase with a decreasing node size.5 Going from the 28 nm technology in 2011,6 typically in use at the event of smartphones and tablets, both energy consumption and greenhouse gas emission will more than double going to the 2 nm node that is scheduled for high volume production at 2024 (Ref. 7) for 5G, AI, and any type of high end products such as laptops, phones, and internet servers (Fig. 2). In addition, the recent COVID-19 pandemic in 2020 forced a large part of the global population into a “new normal”: working from home, conducting web meetings, and home schooling, which drove the semiconductor market to adjust forecasts upward and to produce even more chips in the future than originally anticipated pre-2019. This means that the advantage of lowering greenhouse gas emissions due to reduced travel could possibly be offset by a growing energy demand and greenhouse gas emission from electronics and semiconductor manufacturing and use.
Electrical energy consumption and greenhouse gas emission in 300 mm logic wafer production for relevant technology nodes in production in 2021 and to be ramped up in the next five years.
Electrical energy consumption and greenhouse gas emission in 300 mm logic wafer production for relevant technology nodes in production in 2021 and to be ramped up in the next five years.
We foresee a new research field focused on developing more sustainable CVD processes without impacting the performance of the deposited film negatively. To develop this, we suggest an adaption of a philosophy similar to Green Chemistry,8 a philosophy for all areas of chemistry and chemical engineering to make more sustainable processes and products. Green chemistry focuses on reducing the amount of hazardous materials used and generated, the amount of energy consumed, and designing less harmful molecules. Here, we outline suggestions for such a Green CVD philosophy.
II. EXAMPLES OF NONSUSTAINABLE CVD PRACTICE
In this section, we list some of the most obvious and common examples of how CVD is performed in a nonsustainable manner. While it can be argued that some of these are within the very essence of CVD and constitutes sensible best practice, it must be appreciated that these are also the factors making current CVD not sustainable. In Sec. IV, we discuss potential research toward making these, and other aspects of CVD, more sustainable. Importantly, many of these examples would not only aim at reducing energy and emissions but also be economically beneficial since it also reduces cost if implemented in high volume manufacturing.
A. Low uptake of precursors into films—Precursor utilization
Several CVD processes transform only a small fraction of the precursors supplied into the CVD reactor into the intended film. GaN is deposited by CVD using trimethylgallium, Ga(CH3)3, and ammonia, NH3, at 800−1000 °C.9 However, the kinetics of the decomposition chemistry between the two precursors is highly mismatched: NH3 has a very slow decomposition to NH2 and NH (Ref. 10) compared with the decomposition of Ga(CH3)3 to GaCH3.11 This forces the use of very high N/Ga precursor ratios (500−1000) for CVD of high-quality GaN, leading to 99.9% of the NH3 used in GaN CVD ending up as a waste gas, neutralized by bubbling through large amounts of acid to abate it. Given that NH3 is manufactured by the energy-consuming Haber–Bosch process, the GaN CVD process—an essential process for sustainable lightning12—is far from sustainable. Similarly, a recent study on LPCVD of TiO2 from titanium isopropoxide and water showed that the precursor utilization efficiency was less than 1%.13 Also, standard sequential ALD is generally estimated to waste 50%−90% of the entrained precursor during sequential precursor saturation pulses.
This is not just an issue with precursor gases. Hydrogen gas is most often used as a carrier gas, yet it often plays an important part in the CVD chemistry by creating more reactive intermediates in the gas phase and on the surface from the precursor molecules and less reactive by-products leaving the surface.14 But, most of the H2 in a CVD gas mixture just flows unreacted through the reactor. Given that H2 must be made for the CVD process by energy-intensive processes, and highly purified when used in the semiconductor industry, wasted H2 in the CVD process is wasted energy.
B. Use of greenhouse gases
The kinetics of this reaction, and ultimately the whole deposition chemistry for Al2O3, can be controlled by the addition of CO to the CVD process, which is more tunable and is quicker to respond to process conditions than the variable addition of water vapor. This CVD chemistry, while very powerful and used in high scale manufacturing, is not sustainable as it not only emits CO2 but requires the synthesis of CO2 as a reactant gas.
NF3 is used in the semiconductor, photovoltaic, and flat panel display industries as a cleaning gas in CVD and PECVD process chambers. Typically, NF3 is activated by a remote plasma source for effective cleaning via fluorination of metallic (W, TiN, and TaN), silicon-containing, and dielectric (e.g., SiO2 and SiNx) film deposits on chamber walls and substrate holders, as well as other internal parts of the process chambers. Through fluorination, volatile fluorides are formed that can be purged and pumped away, leaving a clean surface for further production without taking the equipment off-line for wet and mechanical cleaning, which requires an evacuation of the process chamber and significant loss of productive time.
Synthetic fluorinated species—chlorofluorocarbons (CFCs), hydrochlorofluorocarbons (HCFCs), hydrofluorocarbons (HFCs), and perfluorocarbons (PFCs) as well as sulfur hexafluoride (SF6) and nitrogen trifluoride (NF3)—are almost or entirely anthropogenic and are, therefore, almost exclusively released from industrial and domestic appliances and applications. The Global Warming Potential (GWP), a measure of the greenhouse effect of a gas relative to the same amount of CO2, for NF3 is estimated to be 14 600 over 20 years, 19 400 over 100 years, and 21 400 over 500 years due to its higher persistence in the atmosphere than CO2.15 According to monitoring by the National Oceanic and Atmospheric Administration, the amount of NF3 in the atmosphere has been rising and follows the same upward trend driven by increased industrialization and consumption like other greenhouse gases (Fig. 3).
Upward trend in atmospheric NF3 concentration for the last five years (Ref. 16). Data and graph provided by the Global Monitoring Laboratory of the US National Oceanic and Atmospheric Administration from the global HATS flask network.
Upward trend in atmospheric NF3 concentration for the last five years (Ref. 16). Data and graph provided by the Global Monitoring Laboratory of the US National Oceanic and Atmospheric Administration from the global HATS flask network.
Due to the growth of the semiconductor industry, the annual addition of plasma CVD and ALD wafer processing chambers will grow considerably, expanding an already huge tool inventory, which will drive the emission of NF3 further unless mitigated by proper abatement systems.
C. Use of high temperatures
Many CVD processes rely on temperatures more than a few hundred degrees Celsius to activate the deposition chemistry and to obtain a high-quality deposited film. This is perhaps the CVD issue that has been addressed in the highest number of publications in the CVD literature, as seen from a great number of papers on plasma CVD and metal organic CVD (MOCVD).17 But efforts to lower process temperatures have been motivated not by sustainability but by tolerance to high temperatures by the substrate or the device.
D. Engineered precursors
The use of metal organic compounds in CVD was an early attempt to lower the deposition temperature without increasing impurity levels. It is now common CVD practice to employ pyrophoric metal–organic precursors. While this can be regarded as a more sustainable type of CVD due to lower process temperatures, it can also be regarded as less sustainable because of the increased hazardous working environment for the CVD operators brought on by these often-pyrophoric precursors. While metal–organic and other engineered precursor molecules are used only in an industrial context when they bring a significant advantage in terms of productivity, economy, or even making the deposition of the material feasible, all engineered precursor molecules have been synthesized and, therefore, are already associated with an environmental footprint before the CVD process. Most precursor syntheses rely on relatively easy salt metathesis reactions of lithium salts of the anionic ligand with the target metal chloride, forming the target metal–ligand complex and LiCl as a by-product. This type of salt metathesis is done in some moderately polar, nonaqueous solvent, and can require several reaction steps, as well as purification of the crude synthesis product, again in several steps. Each step in the synthesis and purification requires energy and creates chemical waste, which must be handled. A more sustainable precursor is the one that requires the least number of synthesis steps. The most sustainable precursor can, therefore, be metal chloride if it can be used directly in a CVD process.
III. SUGGESTED PHILOSOPHY FOR GREEN CVD
A Green CVD philosophy needs to focus on reducing the total energy consumption, reducing molecular consumption by increasing the efficiency in atom usage, and reducing the use of and formation of hazardous molecules. This should be done for the whole process chain of a CVD process—from precursor synthesis to waste gas abatement. A sustainable CVD process must also take an active stand against human rights abuse throughout the whole materials chain, use renewable energy for CVD equipment, and make use of the excess heat produced by CVD equipment. We suggest breaking down the efforts to make a CVD process more sustainable in the following way.
A. Sustainable CVD chemistry
A CVD chemistry that uses precursors reacting with lower energy input, in close to stoichiometric amounts, and produces less harmful by-products in the deposition process is a more sustainable CVD chemistry. Designing molecules that can address these points, entirely or in part, would reduce the energy demand, molecular consumption, and the need to treat waste gases for the CVD process.
The chemical synthesis of molecular precursors used in a CVD process should be done according to the principles of Green Chemistry,8 an already established research field, stating that chemical synthesis should be done at low temperatures with as few synthesis steps as possible while maximizing the number of atoms from the starting material into the end product and minimizing the production of waste.
From a Green CVD perspective, the synthesis of the precursor molecules must be accounted for when determining the sustainability of the CVD process. For example, replacing chloride precursors in a CVD process with another precursor synthesized initially from the chloride may not lead to overall lower energy consumption or reduction of generated waste when the synthesis of the precursor from the chloride is considered in an overall analysis.
To make more complicated precursor compounds in a synthetic laboratory setting, filtration of side products to isolate the target compound is straightforward and, indeed, commonplace. However, filtration of chemical reactions on the multi kilogram or ton scale is a very energy-intensive and wasteful process, adding both cost and additional waste to the overall process. This is just one example of how upscaling an easily available commodity chemical may, from a Green CVD point of view, cause inefficiencies. But these need to be considered against the abatement of gaseous HCl in a deposition process since this is the most common side product from a CVD process that employs metal chlorides as a precursor.
Precursor use is typically not discussed in the CVD literature and is dependent on gas flow patterns, the gas residence time in the reaction zone, reactor wall and substrate temperature, and precursor decomposition chemistry. A suggested research area within Green CVD is to study how precursor molecules can be designed to render a more efficient CVD chemistry where a larger fraction of the supplied precursor concentration is incorporated into the target film, and the precursors can be supplied closer to the same stoichiometric ratio as the atoms in the film. An example of this is the modeling and experimental work reported for the CVD of SiC,18 pointing out that the decomposition kinetics for both precursors in these binary materials must be matched, and the kinetics of both the gas phase and the surface chemistry must be carefully considered in this matching.
A factor that can improve the sustainability of a CVD chemistry is if a precursor is a by-product of another process. An example here is the use of acetonitrile, CH3CN, as a carbon and nitrogen precursor in the CVD of metal carbonitrides hard coatings: acetonitrile is a by-product in the manufacturing of acrylonitrile which is polymerized to make several types of rubbers. This aspect of sustainability of a CVD chemistry, of course, depends on the amount of purification needed. From a sustainability point of view, it should be noted that employing CH3CN instead of the traditionally used CH4 and N2 mixture for depositing TiC1−xNx reduced the CVD temperature from 1000 down to 700 °C,19 again addressing a Green aspect of deposition.
The by-products from CVD precursors must also be considered for a sustainable CVD chemistry as these need to be handled and disposed of safely, like the “Verbund” principle employed by BASF.20
A sustainable CVD process should also be safe for the operator and laboratory personnel. Therefore, the hazardous properties of CVD precursors (e.g., pyrophoricity) should also be considered for Green CVD chemistries.
B. Reduced use of energy
The main factors impacting the energy use of a CVD reactor in production are the following:
The reactor process temperature and the duration of elevated process temperature, including heat up and cool down cycles; this is typically referred to as the thermal budget.
CVD process activation: by plasma, light (e.g., UV, IR, and laser), or thermal energy (heat).
Reactor and facility cooling of heat generating reactors and subreactor level equipment, such as pumps, cold traps, ozone generators, and process gas abatement.
Maintaining a precursor gas flow and subatmospheric process pressure by fans and vacuum pumps.
CVD process idle mode: to maintain a stable process, CVD reactors are typically kept at an elevated temperature, vacuum, with a bulk inert gas flow (e.g., N2 or Ar).
All CVD processes use elevated temperatures. Some CVD processes for amorphous or polycrystalline films operate at a few hundred degrees Celsius, while epitaxial growth of single-crystalline films, needed for some devices, use 1000−1500 °C. A reduction of the thermal budget in a CVD reactor is the obvious way to reduce energy consumption. From a Green CVD perspective, the question is then how to reduce the thermal budget of the CVD reactor without also altering the performance of the CVD process and how to use the excess heat generated from CVD equipment.
While the classical structure–property relationships for CVD dictate that temperature is a key factor for the film structure,21 studies have shown that time-resolved precursor supply, combined with plasma discharge, i.e., plasma ALD, can be used to deposit epitaxial films also at much lower substrate temperatures.22 Thus, the energy needed to break chemical bonds and allow for surface diffusion of film growth species can also be provided at a lower thermal budget for the process. The use of plasma enhancement can be particularly beneficial if it can significantly reduce the energy consumed during process idle mode. This is a careful balance: in a typical research reactor, the heater and electronic components consume 3–3.5 kW of energy, while a plasma reactor requires energy on the order of 1 kW. The additional heating energy and the extra energy required for the plasma system should be analyzed to determine truly how much energy is conserved by switching to a plasma process from a thermal one.
Energy-efficient plasma discharge in CVD, where the desired plasma characteristics and chemistry is obtained for the lowest energy input, is an important research question in Green CVD. The energy consumption in a plasma discharge depends on the ionization potential of the molecules in the plasma and the method by which electrical energy is transferred to the plasma discharge. The total energy consumption for a deposition process will change if a plasma discharge is used, and this could further necessitate longer process times, particularly if a time-resolved precursor supply is used, in an ALD-type process.
An alternate path to reduce energy consumption by low-temperature CVD is to use precursor molecules that are more prone to undergo chemical reaction at lower temperatures, the so-called atom efficiency. The large body of the literature on the development and use of highly reactive molecules to reduce the substrate temperature in CVD, i.e., the reasoning behind MOCVD, without reducing the material quality, highlights the role of precursor development for more sustainable CVD processes. As mentioned above, the energy consumption for the synthesis of such molecules must be included in the total energy consumption of the CVD process: the analysis needs to consider the full “lifetime” of precursor compounds from synthesis through to consumption and abatement.
C. Sustainable reactor design
The design of the CVD reactor is a crucial part of a Green CVD philosophy: designing CVD reactors with as low a vacuum volume and thermal budget as possible and with a recirculation of process gases can reduce the consumption of energy and increase atom efficiency in the CVD process. As most CVD processes are performed at reduced pressure, CVD is highly dependent on vacuum pumps: this allows for higher process control given the longer mean free paths for molecules in the gas phase and fewer species reaching the surface in each time interval. Energy consumption from vacuum pumps is high but can be reduced by reducing the volume of gas in the CVD process, reducing the vacuum volume of the CVD reactor, and using the correct type of pump of an adequate displacement.
In single wafer CVD processes, the gas mixture is generally flown through the reactor without recirculation, and the molecules, typically, get only one chance to react with a given surface before they are pumped out. It has been demonstrated that ruthenium carbonyl precursors can be recaptured and reconstituted from a reaction by-product in a Ru CVD process used in the semiconductor process.23 Technology has also been developed for recycling helium, which is used in many plasma-activated processes, for cryogenic cooling, and for EUV lithography.24 Helium is very abundant in the universe (approximately 24% in mass) but relatively scarce on earth since it can escape the earth’s gravitational field. Helium is captured during the fractional distillation of natural gas, which makes it prone to global cyclic supply and demand issues. This provides an economic incentive for recycling He since recovering and recycling helps reduce exposure to shortages, lowering the burden on keeping a stable production and minimizing the impact of supply disruptions. Research into the recapture and recycling of metal precursors and process gases used by CVD holds great promise to improve molecular consumption.
D. Identify and address human rights abuses
Many of the metals used in CVD (e.g., hafnium, cobalt, tantalum, indium, ruthenium, palladium, and strontium) are of high importance in the semiconductor and microelectronics segment and considered critical to leading economies in the Western world. But in many cases, these metals are sourced and recycled in the third world and nations with emerging economies. An often-highlighted concern is cobalt, which is crucial for lithium–ion batteries as well as in the cemented carbide industry but is also deposited by CVD in leading-edge transistors. It is well known that cobalt is a problematic metal: as of 2018, the Democratic Republic of Congo (DRC) was the world’s leading source of mined cobalt, supplying more than 60% of the world’s production.25 According to a recent report by Amnesty International, 20% of the cobalt in the DRC is mined by hand, and it was documented that children and adults are required to work in narrow man-made tunnels, putting these workers at risk of fatal accidents and serious lung disease.26
While the use of critical metals with the issues above are typically dictated by the device design, a CVD process depending on metals mined under violations of fundamental human rights cannot be considered as sustainable. A Green CVD philosophy should, therefore, follow the recommendations of Amnesty International27 that companies have the individual responsibility to identify, prevent, address, and account for human rights abuses in their cobalt supply chains and that public disclosure of human rights risk assessments is a vital step. Where a company has contributed to or benefited from child labor or adults working in hazardous conditions, it has a responsibility to remediate the harm suffered, for instance by working with other companies, NGOs, and governments to remove children from the worst forms of child labor and support their reintegration into school, as well as addressing health and psychological needs.
E. Use of renewable energy and utilization of excess heat
The potentially highest possibility for making a given CVD process more sustainable is to switch to using a renewable source of energy with zero-net generation of CO2. While this is an action that is far away from what is happening during a reactor or molecular synthesis and, therefore, perhaps not top on the mind of a CVD researcher, it cannot be disregarded in a Green CVD philosophy.
The excess heat generated by the high temperatures employed in CVD processes can also be harvested for achieving a more sustainable CVD process. One way of using the excess heat is to preheat another, adjacent CVD reactor or the precursors in an adjacent CVD reactor. The advent of efficient thermoelectric devices or passive heating (e.g., adjacent buildings) signals that these are the other alternatives for tackling the problem of excess heat.
F. Summary of a suggested Green CVD philosophy
From this breakdown of the CVD process, we suggest the following principles to summarize a sustainable Green CVD philosophy:
Use precursors that can be supplied to the process in close to the stoichiometric ratios in the target film to reduce molecular waste.
Use precursors that undergo reactions with lower activation energies to reduce energy consumption and molecular waste.
Use less hazardous precursor molecules to make the CVD process safer.
Use precursors that produce less harmful by-products that are easier to handle.
Minimize waste and energy consumption in the precursor supply chain.
Minimize the thermal budget and vacuum volume of the CVD reactors.
Use the most energy-efficient way to activate the deposition chemistry, including plasma methods.
Recycle unconsumed CVD gases and precursors.
Identify, prevent, address, and account for human rights abuses in the CVD supply chain.
Use renewable energy for the CVD process and harvest excess heat.
Some of these principles are seemingly contradictory. Switching to a precursor that undergoes reactions at a lower temperature could require that precursor being synthesized in a more energy demanding and waste producing way than the precursor it replaces. Recycling of unconsumed CVD gases could require increased vacuum volume and energy consumption for the CVD reactor and possibly also for compression of the gases: this increase in energy must then be compared with the energy saved by the lower molecular consumption in the CVD process.
The envisioned research field of Green CVD, focused on developing more sustainable CVD processes without negatively affecting the performance of the deposited films, should strive to find ways to implement as many of these points as possible to a given CVD process without producing a negative effect on the quality nor the price of the final product. While all these points may not be applicable to all CVD processes, these principles are intended to be an aspirational set of guidelines for designing and improving CVD processes going forward. Hopefully, several of these principles can always be applied to make any given CVD process more sustainable.
IV. OUTLOOK
We suggest that the first step in applying the Green CVD philosophy to an established CVD process is a thorough analysis of the process to establish which Green CVD principles will make the largest impact. As has been pointed out,5 there are no proper Life Cycle Assessment (LCA) protocols for CVD processes, although a few examples exist in the literature. Wang et al. reported a material and energy efficiency analysis of the LPCVD of TiO2 where they showed that the precursor utilization efficiency in the LPCVD process was less than 1% and that the energy efficiency is less than 0.1%.13 Griffiths et al. reported an LCA analysis for a CVD process to make multiwalled carbon nanotubes from toluene, catalyzed by ferrocene.28 They found that the equipment infrastructure had the largest energy usage, followed by the footprint of chemicals, purification, and the release of furnace exhaust gases to the atmosphere in order of falling energy usage. They also pointed to energy use during the process, especially during furnace heating as an area for improvement, and suggested that excess process heat should be used to preheat the CVD chamber and chemicals. These studies were driven by a desire to analyze the production and the environmental footprint of the deposition product and not to analyze the CVD process as such. More recent studies on the CVD of carbon nanotubes offer a path to also optimizing the CVD process to make it more sustainable. Trompeta et al.29 compares two optimized CVD processes for carbon nanotubes from an LCA perspective and state that a CVD process using camphor as the carbon precursor and ferrocene as the catalyst has an environmental impact three times higher than a CVD process using acetylene as the carbon source and iron particles in zeolites as the catalyst. Teah et al. compares the CVD of carbon nanotubes on substrates and in fluidized beds and suggests that fluidized bed CVD is far more sustainable, unless the carbon nanotubes must be grown on a specific substrate.30 We argue that developing suited LCA protocols for a sustainability analysis of a CVD process is one of the most urgent research areas for Green CVD.
We foresee that Green CVD research, like the CVD field, will span synthetic chemistry, physical chemistry, plasma physics, materials science, and engineering. Green CVD will also heavily depend on modeling, as reactor design and engineering are essential in Green CVD. The effect of an altered reactor design on the CVD chemistry can also, to a large degree, be studied by modeling. Modeling will also be the least energy-consuming method for initial tests of new ideas.
However, CVD processes that are qualified and ramped into production will be hard to drastically change as the cost of making changes is tremendous and constitutes a financial risk. Therefore, it is essential to understand that some gases and precursor molecules do not have current replacements and that the development of such substitutes is a too costly and time-consuming effort that may not reduce the environmental impact in all cases. The option to focus on, rather than improving, the existing sourcing requirements for metals, gases, and other raw materials, as well as minimizing waste and introducing recapture and recycling technology, may be better short- and mid-term solutions to improve the sustainability of well-established processes.
We believe that the sustainability in CVD will increase using both minor, simple changes and new innovative CVD methods and reactor engineering. Here, we list some examples of these minor changes and exciting new developments with the potential to contribute to Green CVD.
There is an ongoing research effort to find replacements for ammonia that are more reactive.31 This is not simply a question of sourcing a different amine: ammonia has been found to have a reactive surface chemistry on growing GaN surfaces, and although methyl amines are more conducive to forming reactive species in the gas phase, the nitrogen surface chemistry plays a more important role in the deposition of GaN than the gas phase chemistry.
The very potent greenhouse gas NF3 in remote plasma cleaning can potentially be replaced by ClF3 and F2 gas. ClF3 is an explosive gas that requires extreme safety precautions to be used, which drives up costs and requires authority approval, and is also IR active and will, therefore, also contribute to global warming. F2 is, however, not IR active and, therefore, has zero GWP, but requires on-site F2 production installations to be in use. According to the world’s largest gas company, Linde PLC, F2 can economically replace NF3 as PECVD chamber clean gas high volume production in the photovoltaic industry.32
Ways of improving precursor use in ALD has been developed and suggested, such as developing a faster pulsing precursor supply system, avoiding ramping precursor flow stabilization into the bypass (waste), using precursor bulk fill systems that reduce the waste often left in smaller ampoules (about 10−20 vol. %) and returned to the supplier or handled locally for destruction, ALD chamber flow optimization and reduced volume, spatial ALD using continuous precursor flow by separating the ALD pulses in space instead of in time, and using fast <10 ms precursor pulses and higher gas velocity and cross flow ALD pulse trains.33,34
One of the more common processes to grow high-quality dielectric films by ALD or PECVD at low temperatures is based on using the highly reactive trimethylaluminum (TMA). TMA is pyrophoric and will self-ignite in contact with air, making it very unsafe to use without very stringent and expensive installations on the precursor delivery side as well as in the abatement system. Despite, but partly due to, the widespread use of TMA, accidents with smaller or larger fires with TMA are common in the CVD facilities using TMA. While recent research has focused on replacing TMA by other metal–organic precursors,35 AlCl3 seems to emerge as a serious contender to replace TMA for some applications.36 AlCl3 is a solid and has been successfully used in the hard metal coating industry for hard, high-temperature oxidation protection of cemented carbide tool bits. The hard coatings industry has also developed solutions for the problems associated by the corrosive by-products of AlCl3. Recently, Entegris presented a solid-state evaporator for AlCl3 that can be used in high volume ALD Al2O3 production for 3DNAND flash memory.36
While we have argued that precursors should be engineered as little as possible, ligand and precursor design can exploit known, low activation energy thermal pathways to permit the reactivity and decomposition of precursors at low temperatures, enabling greener and more accessible CVD processes. A prime example of this is the use of designed “amidinate” style ligands in the deposition of gold metal (Fig. 4). The difference in the synthesis of these two ligands from a Green Chemistry point of view is small. Each requires multistep syntheses that are energy intensive, and the by-products of thermolysis would both require further combustion before they could be released to the atmosphere. However, the design can drastically change the deposition.
Difference in surface decomposition temperatures and mechanisms for a AuI iminopyrrolidinate (top) and a AuI guanidinate (bottom).
Difference in surface decomposition temperatures and mechanisms for a AuI iminopyrrolidinate (top) and a AuI guanidinate (bottom).
Gold iminopyrrolidinates have been found to be useful for high-temperature deposition of gold metal [Fig. 4(a)]. The ligand is thermally stable at a gold surface at temperatures over 350 °C and can be exploited for sparse deposition of gold metal nanoparticles.37 However, for gold metal film deposition, this high temperature and low growth rate is energetically costly, with the thermolysis occurring at 275 °C by hydrogen abstraction from the tBu group to the nitrogen, eliminating isobutene. The subsequent thermolysis to gold metal (at 350 °C) undergoes a multistep, nonequilibrium decomposition of the ligand initiated by the loss of an ethene fragment. The ligand can be redesigned with less rigidity and the presence of hydrogen atoms at positions “beta” to (i.e., two bonds away from) the metal center. This allows the surface species the flexibility to have the metal center access the hydrogen and provides a low activation pathway for abstraction of the hydrogen from the ligand (a “β-hydrogen elimination”) at 150 °C [Fig. 4(b)].38 Similar β-hydrogen elimination reactivity can be also seen using triethyl boron [B(C2H5)3], permitting it to react at least 300 °C lower in temperature than trimethyl boron [B(CH3)3] in the CVD of boron carbides.39,40 The similar molecular structures of triethyl- and trimethyl boron makes their synthesis equivalent.
Since the early R&D of the use of noble metals like ruthenium and platinum in high volume production, recapture and recycling of expensive ALD precursors have been developed on an industrial scale.23 Recycling of precursors can be done at two levels: recycling unreacted precursor molecules and recycling atoms, e.g., the metal atom in the precursor. As an example, common ruthenium carbonyl precursors (e.g., Ru3CO12) can decompose to nonvolatile ruthenium carbonyls in the bubbler. By reconstituting this compound in process with gaseous CO, valuable Ru-containing precursors can be reclaimed, saving both cost and disposal of this toxic side product. Ruthenium is a high-value metal with a historically volatile price and, therefore, with an economic incentive to recycle spent ruthenium in reaction by-products. Today, the semiconductor industry is on the verge of introducing ruthenium as a metal barrier/contact in logic production, and the volume of ruthenium precursors will go up considerably. From a Green CVD perspective, the technologies developed for ruthenium recapture and recycling should be further developed and adapted to other ALD processes using relatively rare and/or expensive elements (e.g., Zr, Hf, Nb, Ta, Co, and rare earth metals). Therefore, it is essential to assess at what point recapture and recycling are economically feasible with the current technology and to develop new recycling technology to make even the recapture of less-expensive precursors like TMA affordable.
The growing research field of area selective deposition (ASD)41 can most likely contribute substantially to sustainable CVD in high volume production by a more resourceful use of expensive and scarce precursors and simplifying CVD precursor recovery and recycling as well as minimizing material waste by unwanted coatings on reactor surfaces and the foreline, thereby minimizing the need for maintenance and cleaning. The precursor waste in conventional ALD can be reduced while improving productivity and the total cost of ownership of an ALD process by, e.g., spatial ALD and fast, precise dosing and pulsing.
Finally, we appreciate that industry is reluctant to change precursors and CVD processes that have been successfully brought into high volume production. As we have already pointed out, the research area of Green CVD should strive to make a given CVD process more sustainable without causing negative effects on the performance of the deposited film. Ideally, Green CVD should not affect the price of the CVD processing step either. It is very reasonable to expect that the demands for more sustainable production will increase and with that a need for more sustainable CVD. As in other research, a strong collaboration between industry and academia will strengthen the Green CVD development effort.
ACKNOWLEDGMENT
Ray Adomaitis, Örjan Danielsson, and Erik Lindahl are gratefully acknowledged for reading and commenting on the manuscript.
DATA AVAILABILITY
The data that support the findings of this study are available from the corresponding author upon reasonable request.