The precise patterning of front-side mesas, backside vias, and selective removal of ternary alloys are all needed for power device fabrication in the various wide bandgap (AlGaN/GaN, SiC) and ultrawide bandgap (high Al-content alloys, boron nitride, Ga2O3, diamond) semiconductor technologies. The plasma etching conditions used are generally ion-assisted because of the strong bond strengths in these materials, and this creates challenges for the choice of masks in order to have sufficient selectivity over the semiconductor and to avoid mask erosion and micromasking issues. It can also be challenging to achieve practical etch rates without creating excessive damage in the patterned surface. The authors review the optimum choices for plasma chemistries for each of the semiconductors and acknowledge the pioneering work of John Coburn, who first delineated the ion-assisted etch mechanism.

The two wide bandgap semiconductors that are commercialized are SiC and GaN, both of which are used in devices for power switching and power amplifier applications.1–20 There are also emerging markets for lidar sensors for autonomous vehicles, multilevel converters, and motion control for robotics. Power electronics are responsible for controlling and converting electrical power to provide optimal conditions for transmission, distribution, and load-side consumption.6–8 High-voltage switching transistors used in these applications are required to have small ON resistance while providing very high blocking voltages in the OFF state.14–16 Achieving high power conversion efficiency requires low loss power semiconductor switches.1–3 Power semiconductor devices are used in three-terminal switches or two-terminal rectifiers, when forward biased should have minimal resistance in the on-state, Ron-sp, and should support a large blocking voltage, VB, in the off-state.1–3,7,8,14 In a standard device design,5–10 increasing the thickness, LN, or decreasing the doping, Nd, of an n-drift region increases the on-resistance, as given by

where e is the electronic charge and μn is the electron mobility. The relationship between on-resistance and blocking voltage is given by2–6 

where ɛs is the dielectric constant of the semiconductor and Ec is the critical electric field.

The simplest method to break this design tradeoff is to move to a semiconductor material with a higher critical electric field.6–8 Ultrawide bandgap (UWB) materials have critical fields >2 MV/cm and enable the use of thinner, more highly doped voltage-blocking layers, which can reduce on-resistance by an order of magnitude relative to equivalent Si devices.7–10Figure 1 shows a pentagram diagram comparing some of the key properties related to device operation (critical electric field, thermal conductivity, electron mobility, saturation velocity, and energy bandgap) for the current wide bandgap (4H-SiC, GaN) and most developed ultrawide bandgap (β-Ga2O3 and Al0.7Ga0.3N) semiconductors.

FIG. 1.

Comparison of 4H-SiC, GaN, β-Ga2O3, and Al0.7Ga0.3N: critical electric field, thermal conductivity, electron mobility, saturation velocity, and energy bandgap.

FIG. 1.

Comparison of 4H-SiC, GaN, β-Ga2O3, and Al0.7Ga0.3N: critical electric field, thermal conductivity, electron mobility, saturation velocity, and energy bandgap.

Close modal

The critical electric field scales as a power of the bandgap. The exponent has generally been reported to be between 1.8 and 2.5, depending on the model used.9,10 A recent re-examination of data from a variety of semiconductors concluded that Ec∼ Eg,18 but noted the critical field is dependent on temperature and doping.10Figure 2 shows approximately how the critical electric field scales with bandgap using the Hudgins model for SiC and various compositions of AlGaN.10 High breakdown electric field and low conduction losses mean that ultrawide bandgap devices can achieve the same blocking voltage and on-state resistance with a smaller form factor. There is interest in extending the performance limits using ultrawide bandgap materials that could potentially outperform SiC/GaN technology for power switching and power amplifier applications, since the on-state resistance at a given voltage decreases with bandgap.3 

FIG. 2.

Estimated critical electric field as a function of bandgap for 4H-SiC and various compositions of AlxGa1 – xN. Adapted from Kaplar et al., “A new analysis of the dependence of critical electrical field on semiconductor bandgap,” paper presented at the 236th Electrochemical Society Meeting, Atlanta, GA, October 2019 and based on the model of Hudgins et al., IEEE Trans. Power Electron. 18, 907 (2003).

FIG. 2.

Estimated critical electric field as a function of bandgap for 4H-SiC and various compositions of AlxGa1 – xN. Adapted from Kaplar et al., “A new analysis of the dependence of critical electrical field on semiconductor bandgap,” paper presented at the 236th Electrochemical Society Meeting, Atlanta, GA, October 2019 and based on the model of Hudgins et al., IEEE Trans. Power Electron. 18, 907 (2003).

Close modal

There is interest in a number of less developed semiconductors with bandgaps larger than GaN or SiC16–34 for power switching and power amplifier applications. This is an area of rapid progress as new applications such as electric vehicles and lightweight systems like drones emerge. These materials include diamond,17–22 boron nitride (BN),23 high Al-AlGaN,10,24–28 and Ga2O3.29–34 The high Al-AlGaN technology looks highly suited to lateral power devices but lack of large area, cheap native substrates, and issues with vertical conductivity may limit its use in vertical power devices.24–28 The advantages of AlGaN are its ultrawide bandgap and corresponding high critical breakdown field, Ec. As discussed earlier, since Ec has been proposed to scale as a power law with bandgap EcEg2.5, then moving to high aluminum content (70% and above) pushes the bandgap to ≥5.7 eV and the theoretical breakdown to 13.4 MV/cm in accordance with the power law. Drawbacks include a lower electron mobility than binary alloys and difficulty in doping high Al-AlGaN.10 The usual Si dopant ionization level becomes very deep in Al-rich AlGaN, and ion implantation activation efficiency is low. While the initial device performance on these so-called UWB semiconductors looks promising, many challenges exist, including growth maturity, thermal limits, cost, and reliability in these material systems. Another of these materials is Ga2O3, particularly the β-polymorph, which is the most stable and most studied one.29–34 The β-polymorph of Ga2O3 has a large power figure-of-merit and is available in large area bulk and epitaxial layer form.

Commercially available SiC and GaN power devices still have high cost and limited availability of the native substrates compared to Si. SiC and GaN cannot be grown from the melt like Si and the commercialized techniques for their growth, such as seeded sublimation (also known as physical vapor transport, ammonothermal and hydride vapor phase epitaxy), only produce relatively high cost substrates in limited sizes. By contrast, for Ga2O3, the bulk growth methods of Czochralski, float-zone, edge-defined film-fed growth, and vertical Bridgman methods all produce low cost, large crystals.29–31 Ga2O3 is best suited to high-voltage applications because of its large bandgap. Combining Ga2O3 with In2O3 or Al2O3 allows tuning of the atomic and electronic structure.

The other ultrawide bandgap semiconductors under development are diamond and BN. These suffer from high cost (diamond) and lack of mature technology (BN), but continue to be investigated because of their favorable materials properties.

There is generally an absence of good wet etching options for most of the wide bandgap semiconductors, with molten KOH the only universal option. However, this is impractical for pattern transfer and can only be used for blanket etching. The strong bonding of these materials always requires a high ion-driven (physical) component to the plasma etching, where it is generally understood that without ion-driven reactions, the etch rates under low ion density/energy conditions are very low. The challenge is to achieve anisotropic pattern transfer without excessive mask degradation under ion-driven etch conditions. It is also common to observe different etch rates depending on whether the plasma conditions are “neutral-starved” (reactive neutrals or radical density low) or “ion starved” (limited ion-enhancement due to low ion density) regimes. There is usually a threshold ion energy for the initiation of etching, since the etch products often do not have sufficient volatility to leave the surface without assistance by the physical sputtering from the impinging ions. The etch rate is generally proportional to the square root of the ion energy.

In this review, which is dedicated to the memory of John Coburn, we will discuss the plasma chemistries, masking requirements, and current state-of-the art in plasma etching of wide bandgap and ultrawide bandgap semiconductors.

The predominant advantage of dry over wet etching is anisotropy.35–47 Almost all semiconductors except Si in some plasma chemistries are etched by the ion-enhanced energetic mechanism.42 In the case of Si, there can be surface reactions with neutral radicals (such as passivation of Si by chlorine to make SiClx) but there is little etching until energetic ions enhance the reactivity of a substrate or product layer, allowing the formation of the volatile product.40–47 The particular advantage of this etching mode is anisotropy. The basic configuration for the etch reactors employed is a parallel plate, planar plasma etching geometry, referred to as reactive ion etching (RIE). There is a zone, referred to as the plasma sheath that separates the plasma from the sample electrode. The electrodes become negatively charged by the electrons from the plasma because the electrons have greater mobility than do positive ions in the plasma.

A convenient yardstick for determining the importance of the need for a strong physical component of the etching mechanisms is the cohesive energy per bond of the semiconductor. These are listed in Table I, along with that of Si. For low energy ions (<100 eV), there may be significant differences in the resultant physical sputtering rates; however, for high energies (e.g., 500 eV ion), the difference is not great. However, the requirement for minimizing mask degradation and also ion-induced damage in the semiconductor means that practical etch processes always use relatively low ion energies.

TABLE I.

Bond energies for wide bandgap semiconductors along with Si for comparison.

MaterialCohesive energy per bond
(eV)
Si 1.49 
GaN 2.24 
AlN 2.88 
Diamond 3.46 
BN 3.24 
SiC 2.57 
Β-Ga2O3 2.34 
MaterialCohesive energy per bond
(eV)
Si 1.49 
GaN 2.24 
AlN 2.88 
Diamond 3.46 
BN 3.24 
SiC 2.57 
Β-Ga2O3 2.34 

The use of high-density plasma etch systems including electron cyclotron resonance (ECR), inductively coupled plasma (ICP), and magnetron RIE, has resulted in improved etch characteristics for the wide bandgap and ultrawide bandgap semiconductors as compared to RIE.48–65 This is attributed to plasma densities (1011–1012 cm−3), which are typically 2 orders of magnitude higher than RIE (109–1010 cm−3), thus improving the bond-breaking efficiency in these strongly bonded semiconductors and the sputter desorption of etch products formed on the surface. The magnitude of the ion flux to the wafer determines the rate of reaction. It does not determine the efficiency of reaction. The efficiency of reaction is more a function of ion energy. You can have the same ion energy in RIE and ECR, depending on the substrate bias. So the efficiency can be the same while the rate is different.

Additionally, since ion energy and ion density can be more effectively decoupled as compared to RIE, plasma-induced damage is more readily controlled. High-density ECR plasmas are formed at low pressures with low plasma potentials and ion energies due to magnetic confinement of electrons in the source region.65 The sample is located downstream from the source to minimize exposure to the plasma and to reduce the physical component of the etch mechanism. Anisotropic etching can be achieved by superimposing an rf bias (13.56 MHz) on the sample and operating at low pressure (<5 mTorr) to minimize ion scattering and lateral etching. However, as the rf biasing is increased, the potential for damage to the surface increases.

ICP offers another high-density plasma etch platform to pattern group-III nitrides.51–58,65 ICP plasmas are formed in a dielectric vessel encircled by an inductive coil into which rf power is applied. The alternating electric field between the coils induces a strong alternating magnetic field. In a well operating ICP, the electromagnetic skin depth is a few centimeters, so there is essentially no magnetic field on axis due to efficient absorption by the plasma of the electromagnetic wave launched by the antenna. The plasma is peaked on axis because the transport is diffusion dominated not because of magnetic fields. The plasma density is high because of the high efficiency of heating electrons in the inductive field.65 Since ion energy and plasma density can be effectively decoupled, uniform density and energy distributions are transferred to the sample while keeping ion and electron energy low. Thus, ICP etching can produce low damage while maintaining fast etch rates. Anisotropy is achieved by superimposing of rf bias on the sample. A schematic of a typical ICP chamber and the configuration of the electric and magnetic fields is shown in Fig. 3.

FIG. 3.

Schematic of the configuration of a typical ICP reactor showing the direction of the magnetic field and the geometry of the chamber.

FIG. 3.

Schematic of the configuration of a typical ICP reactor showing the direction of the magnetic field and the geometry of the chamber.

Close modal

A comment can be made concerning the blanket etch uniformity for these technologies—given the fact that the wide bandgap and ultrawide bandgap wafers are small compared to Si (generally 4 in. diameter or less for GaN and SiC and 2–3 in. diameter for the ultrawide bandgap wafers), the etch uniformities are excellent, with variations in etch depth over the entire wafer of <5%.

The three basic members of the III-nitride family are GaN, InN, and AlN, and alloys of these are used in devices, e.g., AlGaN and InAlN. Microfabrication of vertical power devices in this materials system often involves a mesa isolation step to define the body of the device and provide electrical isolation from near neighbors. In light-emitting diodes, the uppermost p-layer must be removed by dry etching to expose the underlying n-side of the pn junction for contacting. Since most of the III-nitrides have a high resistance to wet chemical etching, mesa isolation is typically accomplished using chlorine-based plasma etching, which is the preferred technique for patterning GaN and the related members of the nitride family.48,65 Minimization of dry etch damage and sidewall roughness is critical as rough and damaged etched surfaces contribute to increased leakage currents and overall device degradation. Previous investigations have shown that Cl2/Ar plasmas can yield lower surface roughness and damage when compared to plasmas with BCl3 additives, although the latter is commonly added because of its ability to remove oxides that inhibit the initiation of etching.48,65 The etch products for GaN are typically GaCl3 and N2, meaning that the chlorine radical density and the ion density are key factors, since a limiting step is the bond-breaking to allow these etch products to form. An alternative plasma chemistry to the chlorine-based ones is CH4/H2/Ar, which produces much slower etch rates and may have issues with polymer formation distorting the pattern transfer, but has fewer safety issues than chlorine and is well-suited to university labs.

Figure 4 summarizes a comparison of typical etch rates for GaN in Cl2/Ar discharges in different types of reactors.48,49 What is clear is that the high ion density variants (ICP and ECR) produce much higher etch rates than their low ion density counterparts (RIE and reactive ion beam etching, RIBE). The other obvious trend is the strong dependence of etch rate on dc self-bias, corresponding to the energy of the incident ions, which enhance the production and removal of volatile etch products.59–70 This ion-enhanced etch mechanism,71–76 relying on a synergism between the chemical and physical etch components, was pioneered by Coburn and co-workers.35–47 

FIG. 4.

Comparison of GaN etch rates in Cl2/Ar plasmas for different etch techniques—ICP, ECR, RIE, and RIBE. The two high ion density methods produce the fastest etch rates.

FIG. 4.

Comparison of GaN etch rates in Cl2/Ar plasmas for different etch techniques—ICP, ECR, RIE, and RIBE. The two high ion density methods produce the fastest etch rates.

Close modal

Figure 5 shows a typical dependence of GaN etch rate on dc self-bias under ICP conditions in the common Cl2/BCl3/Ar plasma chemistry, which is a versatile and commonly applicable one for nitrides, since it combines the Lewis acid BCl3, which is an effective remover of native oxides and tends to produce more consistent results.48,65

FIG. 5.

GaN etch rate in Cl2/BCl3/Ar ICP discharge as a function of dc self-bias. The latter correlates to the incident ion energy and shows that the etching is ion-assisted.

FIG. 5.

GaN etch rate in Cl2/BCl3/Ar ICP discharge as a function of dc self-bias. The latter correlates to the incident ion energy and shows that the etching is ion-assisted.

Close modal

Harrison et al.71 demonstrated ultradeep (≥5 μm) ECR plasma etching of rows of GaN micropillars. Parametric studies on the influence of the applied rf power, Cl2 content in a Cl2/Ar plasma chemistry, and operating pressure on the etch depth, GaN-to-SiO2 selectivity, and surface morphology were performed. Figure 6 shows the variation in the micropillar etch height and selectivity plotted as a function of rf power.71 Etch depths were generally found to increase as the rf power or ion energy was increased due to the enhancement of the physical sputtering component of the etch plasma. High rf powers can promote faster etch rates from increased ion bombardment, which improves the Ga–N bond-breaking and sputter-assisted desorption of etch by-products. From 50 to 200 W, the etch rates between ∼0.08 and 0.27 μm/min. Etch depths of >10 μm were achieved over a wide range of parameters. Etch rates and sidewall roughness were found to be most sensitive to variations in rf power and % Cl2 in the etch plasma. Selectivities of >20:1 GaN:SiO2 were achieved under several chemically driven etch conditions where a maximum selectivity of ∼39:1 was obtained using a pure Cl2 plasma.63 

FIG. 6.

(a) Micropillar etch height and GaN:SiO2 etch selectivity as a function of rf power for 20 min of etching. The lower panel shows SEM images of lithographically defined hexagonal micropillars with 2 μm diameters etched for 20 min using (b) 75 W (Vdc = −11 V), (c) 100 W (Vdc = −35 V), (d) 200 W (Vdc = −72 V), and (e) 300 W (Vdc = −128 V) rf power. Reprinted with permission from Harrison et al., J. Vac. Sci. Technol. A 35, 061303 (2017). Copyright 2017, American Vacuum Society.

FIG. 6.

(a) Micropillar etch height and GaN:SiO2 etch selectivity as a function of rf power for 20 min of etching. The lower panel shows SEM images of lithographically defined hexagonal micropillars with 2 μm diameters etched for 20 min using (b) 75 W (Vdc = −11 V), (c) 100 W (Vdc = −35 V), (d) 200 W (Vdc = −72 V), and (e) 300 W (Vdc = −128 V) rf power. Reprinted with permission from Harrison et al., J. Vac. Sci. Technol. A 35, 061303 (2017). Copyright 2017, American Vacuum Society.

Close modal

The etch rates, surface morphology, and sidewall profiles of features formed in GaN/InGaN/AlGaN multiple quantum wells by Cl2-based dry etching are a good indicator of how the change in composition alters etch rates and selectivity.77 The chlorine provides an enhancement in etch rate of over a factor of 40 relative to the physical etching provided by Ar and the etching is reactant-limited (also called neutral-starved) until chlorine gas flow rates of at least 50 standard cubic centimeters per minute (power 250 W, volume residence time approximately 30 s, and fractional dissociation 5%). Mesa sidewall profile angle control is possible using a combination of Cl2/Ar plasma chemistry and SiO2 mask. N-face GaN is found to etch faster than Ga-face surfaces under the same conditions.

Damage effects during etching of these structures alters the electrical characteristics of these InGaN multiquantum-well pn junctions.56,57 Structures of this type were exposed to either Ar or H2 inductively coupled plasmas as a function of both rf chuck power (controlling incident ion energy) and source power (controlling ion flux), to simulate the ion bombardment effects that occur during etching. The forward turn-on voltage was increased by both types of plasma exposure and was a function of both the incident ion energy and flux. The reverse bias current in the pn junctions was much larger in the case of H2 plasma exposure, indicating that the preferential loss of nitrogen leads to increased surface leakage. The current transport in the junctions was dominated by generation-recombination (ideality factor ∼2) both before and after the plasma exposures.

Atomic layer etching of GaN has been reported by Kauppinen et al.72 using sequential surface modification by Cl2 adsorption and removal of the modified surface layer by low energy Ar plasma exposure in an RIE system. It was possible to use a simple photoresist mask for patterning GaN(0001) films by Atomic Layer Etching (ALE). The etch rate was constant with the number of ALE cycles, and the etch rate saturated when increasing the Ar ion dose. Almost exactly 1 ML etch per cycle was achieved with longer purge times.

Selective etching in the nitride family is possible, especially for GaN over AlGaN, because of the higher bond strengths of the latter.48,63,65,78–81 Commonly used plasma chemistries include chlorine and oxygen gasses, with selectivity a strong function of oxygen ratio and also by RIE power, which controls ion energy. Maximum selectivity between GaN and Al0.25Ga0.75N achieved was at least 68.5 to 1. Optimum selectivity was obtained with a low oxygen flow to inhibit Al0.25Ga0.75N etching while steadily etching GaN. Although Al0.25Ga0.75N acts as an etch stop with excellent selectivity, significant overetching can still cause damage to the underlying layers through ion bombardment.

Since the Si–C bond energy is very high, many plasma etching processes for SiC are very slow.82–105 To achieve reasonable etch rates, high ion energies and a high plasma density are necessary. One application requiring deep etching is the fabrication of through-wafer via holes, which should have no trenching or micromasking and have excellent electrical connection after subsequent metal plating. This was developed across full wafers for use in AlGaN/GaN high electron mobility transistors (HEMTs) grown on SiC substrates for obtaining high thermal conductivity and microwave monolithic integrated circuits using ICP conditions.65,85–90,97 The key process parameters were found to be choice of wafer platen, hard mask, gas chemistry, surface treatments, and plasma parameters in order to achieve an acceptable etch rate while simultaneously minimizing trenching (where scattering of ions off the sidewall leads to grooves at the base of the sidewall) and micromasking (where involatile fragments of the mask or polymer from the plasma accumulate on the surface and prevent etching of the semiconductor underneath those regions) that can harm via yield. In addition, the issue of wafer thickness variation and etch nonuniformity leading to punch through of Au pads at the bottom of the vias was addressed by the addition of a metal layer to the front side of the wafer. An extreme example of micromasking is shown in Fig. 7, where an SiC wafer was etched in an SF6/O2 discharge under nonoptimized conditions.

FIG. 7.

Via etched into SiC using an ICP SF6/O2 discharge at 7 mTorr, an ICP power of 900 W, and an rf power of 250 W.

FIG. 7.

Via etched into SiC using an ICP SF6/O2 discharge at 7 mTorr, an ICP power of 900 W, and an rf power of 250 W.

Close modal

An acceptable SiC etch process for through-wafer via formation will simultaneously realize etch rates in excess of 2500 Å/min, highly anisotropic profiles, smooth surface morphologies with minimal micromasking, and minimal trenching. So far, a variety of plasma chemistries based on fluorine, including NF3, NF3/O2, SF6/O2, SF6/He, and SF6/O2/Ar, have been used for SiC etching.83–105 The active etch species are then the F radicals, forming SiFx and CFx etch products once the bonds are broken by ion bombardments. The spontaneous etch rates without ion bombardment are very low. While rates as high as 8000 Å/min have been reported in some chemistries, the profiles often show significant trenching and micromasking. This micromasking effect can be reduced by introducing an Ar pretreatment, as well as with the introduction of CF4 to SF6/He plasma chemistry.97 The proper selection of mask and platen (i.e., the electrode on which the sample sits during etching) material is also critical. The use of fluorine-based plasmas is appropriate to form volatile etch products with SiC, which are believed to be SiF4 and CF2. In addition, the use of O2 in the plasma may provide an additional volatilization path for the C in the form of CO, CO2, or COF2 while simultaneously increasing the density of F free radicals. The choice of mask and platen are important, since they are potential sources of nonvolatile etch products. Aluminum containing platens such as sapphire, aluminum nitride, anodized aluminum, and nickel-plated aluminum all create unacceptable levels of micromasking in the vias since they lead to particulate formation on the wafer. Use of silica and silicon is also inappropriate due to the rapid etch rate of these materials in F-based plasma. The solution settled upon was the use of graphite.97 

For a practical SiC via process, etch rates of >2500 Å/min are a reasonable target since the via depth of ≥100 μm would correspond to a 400 min etch time. This etch time may even be longer, due to the presence of RIE-lag, i.e., where the rate slows significantly as via depth increases, due to increasing difficulty transporting the reactive gases to and volatile products from the SiC surface. Figure 8 shows results for via etching over time for SF6/O2, and either 750/100 W or 950/250 W ICP/rf power. While the 950/250 W powers display much higher etch rates, the plasma is not stable at these elevated powers for long periods because this power is close to the maximum available on this particular etch system. Thus, it is necessary to use the slower 750/100 W etch parameters. This problem could be alleviated with use of a higher power level rf supply. At these powers, an initial etch rate of ∼3500 Å/min at 100 min is achieved. The etch rate decreases to 3000 Å/min at 350 min. The addition of He or Ar into the SF6/O2 plasma was found to reduce the amount of micromasking.87–90,97 These additional gases serve the dual purpose of significantly increasing the SiC etch rate without adversely affecting the sidewall profile or Ni mask etch rate. In addition, an Ar cleaning step of the SiC surface prior to etching dramatically decreased the amount of pillar formation. The SF6/O2/Ar discharges allow the use of Al-containing or graphite platens without an increase in the amount of micromasking in the vias. Etch rates of almost 5000 Å/min were achieved with minimal micromasking and trenching at the bottom of the via holes. Figure 9 shows SEM micrographs of deep features etched into SiC using this optimized process. The profile has the required ∼86° sloped profile sidewalls and the walls are smooth. The uniformity across the wafer was ±2.2%.

FIG. 8.

SiC etch rate for ICP discharges of SF6/O2 at 7 mTorr, as a function of ICP and rf power and etch time. Reprinted with permission from Voss et al., J. Vac. Sci. Technol. B 26, 487 (2008). Copyright 2008, American Vacuum Society.

FIG. 8.

SiC etch rate for ICP discharges of SF6/O2 at 7 mTorr, as a function of ICP and rf power and etch time. Reprinted with permission from Voss et al., J. Vac. Sci. Technol. B 26, 487 (2008). Copyright 2008, American Vacuum Society.

Close modal
FIG. 9.

SEM images of deep features etched into SiC using ICP SF6/O2 discharges and an Ni/Ti mask. Reprinted with permission from Voss et al., J. Vac. Sci. Technol. B 26, 487 (2008). Copyright 2008, American Vacuum Society.

FIG. 9.

SEM images of deep features etched into SiC using ICP SF6/O2 discharges and an Ni/Ti mask. Reprinted with permission from Voss et al., J. Vac. Sci. Technol. B 26, 487 (2008). Copyright 2008, American Vacuum Society.

Close modal

In terms of masking, SiO2 is a common choice for shallow SiC etching, using SF6/O2 plasma chemistry. This relatively clean chemistry produces etching species with good volatility. Selectivity to SiO2 is 2.1:1, highlighting the issue of mask selectivity. These levels of selectivity call for a relatively thick mask or suggest the use of alternative conditions and/or masking materials. The selectivity to Al is more than double the selectivity to SiO2—around 5:1—and the quality of the resulting etches is directly comparable. Smooth sloped 87–88° profiles with no microtrenches and an aspect ratio of more than 5:1 can be produced using Ni masks at an etch rate of around 250 nm/min.97,102 Uniformity is <±5% across a 100 mm wafer. SU8 is another widely used epoxy-based photoresist. The selectivity of SU8 to the SiC etching process was low (0.55:1).

Voss et al.102 also reported using SF6 reactive ion etching to produce nanotexturing of SiC. The effect of etching process power and time was optimized to demonstrate sub-1% specular reflectance and below 5% total reflectance over the 400–2000 nm spectral range.

Recent advances in etching SiC have included accelerated etch rates using femtosecond laser modification,103 damage-free finishing of chemical vapor deposition (CVD) SiC by a combination of plasma etching and plasma-assisted polishing and the revealing of dislocations using ICP etching.104,105

High Al-content AlGaN has the potential to enable the next generation of power switching transistors, using its ultrawide bandgap to increase the output power and breakdown voltage relative to GaN and SiC.106–123 The bandgap of this system varies from 4.4 eV for GaN to 6.2 eV for AlN. A common device structure for this materials system is a high electron mobility transistor (HEMT), in which a 2-dimensional electron gas channel is formed at the interface between two layers of differing bandgap, e.g., an AlxGa1 – xN channel layer may be used in conjunction with a wider-bandgap AlyGa1 – yN barrier layer. A typical device structure is shown in Fig. 10.113 To date, numerous groups have demonstrated high Al-content AlGaN/AlGaN HEMTs with good gate control and leakage current.106–123 Devices containing pure AlN barriers are limited at present by source/drain Ohmic contact resistance.10,122 Dry etching is employed for several steps of AlyGa1 – yN/AlxGa1 – xN HEMT fabrication, including mesa isolation and recessed gate structures. For example, in an AlN/Al0.85Ga0.15N barrier/channel heterostructure, source and drain contacts are fabricated by employing a dry etch of the AlN barrier and then regrowth of n+ GaN or ion implantation of Si. Douglas et al.123 reported a comparison of the dry etching characteristics of Al0.71Ga0.29N, Al0.85Ga0.15N, and AlN, all of which were grown on an AlN buffer layer on a 1.3 mm thick sapphire substrate. An etch chemistry of Cl2/BCl3/Ar was employed, under ICP conditions.

FIG. 10.

Cross-sectional schematic of the typical high-Al HEMT structure. Reprinted with permission from Klein et al., J. Electron. Mater. 48, 5581 (2019). Copyright 2019, Springer.

FIG. 10.

Cross-sectional schematic of the typical high-Al HEMT structure. Reprinted with permission from Klein et al., J. Electron. Mater. 48, 5581 (2019). Copyright 2019, Springer.

Close modal

Figure 11 shows the etch rate for the three materials as a function of either bias power (top) or plasma composition (bottom).123 There are several key points from these data. The first is that the etch rates increase with bias power, suggesting that the etching is ion-driven and is proportional to incident ion energy. The desorption of AlClx compounds from the surface is likely the rate-limiting step. The nonlinear trend in etch rate may result from an adsorption limited etch regime, in which reactive species are sputtered off the surface prior to desorbing from the surface as a volatile component.123 The second point is that as the percentage of BCl3 increases above 10%, the etch rate for all three compositions behaves very similarly with the overall etch rate decreasing and approaching zero at 100% BCl3. In this case, BCl3 does not produce a high concentration of Cl radicals, especially at low ICP and bias powers. This was confirmed by optical emission spectroscopy. The surface morphology for the three compositions of AlxGa1 – xN was excellent surface morphology as long as a minimum of 30% BCl3 was used in the plasma chemistry.123 

FIG. 11.

Effect of bias power (a) on the BCl3 content and (b) on the etch rate for Al0.71Ga0.29N, Al0.85Ga0.15N, and AlN in ICP discharges of Cl2/BCl3/Ar. The pressure was 3 mTorr, ICP power was 125 W, and gas flow was at 20% BCl3 plus 5 SCCM Ar at 45 SCCM total gas flow, and bias (10 W) was held constant for the BC3 content experiment. Reprinted with permission from Douglas et al., J. Vac. Sci. Technol. A 35, 021305 (2017). Copyright 2017, American Vacuum Society.

FIG. 11.

Effect of bias power (a) on the BCl3 content and (b) on the etch rate for Al0.71Ga0.29N, Al0.85Ga0.15N, and AlN in ICP discharges of Cl2/BCl3/Ar. The pressure was 3 mTorr, ICP power was 125 W, and gas flow was at 20% BCl3 plus 5 SCCM Ar at 45 SCCM total gas flow, and bias (10 W) was held constant for the BC3 content experiment. Reprinted with permission from Douglas et al., J. Vac. Sci. Technol. A 35, 021305 (2017). Copyright 2017, American Vacuum Society.

Close modal

These results confirm that the Cl2/BCl3/Ar chemistry can produce practical etch rates and good surface morphology for AlyGa1 – yN/AlxGa1 – xN device fabrication. This is a common mixture to use under ICP conditions, with the BCl3 removing aluminum oxide surface layers, the Cl2 providing the chemical etch component and the Ar the physical component. It also has an acceptable selectivity to common mask materials. It is worth noting that the CH4/H2/Ar plasma chemistry produces extremely slow etch rates for high Al-content AlGaN and is not widely used.

BN is an emerging wide bandgap semiconductor for power electronics and deep UV photonic device applications, with an energy bandgap of Eg∼ 5.9 eV for the hexagonal phase and 6.4 eV for the cubic phase.124–139 It also has potential applications in solid-state neutron detection through the fission reaction between the neutrons and 10B atoms.130,131 BN is isoelectronic with carbon (diamond) and can possess sp2- and sp3-bonded phases. The four primary crystalline BN phases are the most common phase, hexagonal BN (h-BN), as well as rhombohedral BN, cubic BN (c-BN), and wurtzite BN. h-BN is comprised of sp2 B–N bonds forming planar hexagonal networks stacked along the c-axis in an AA′AA′A configuration.128,132 The in-plane and c-axis lattice constants of h-BN are 0.250 and 0.666 nm, respectively, close to the values of graphite. c-BN has a zincblende lattice. As is the case for diamond, c-BN is an sp3-bonded phase, which exhibits very high values of thermal conductivity, hardness, strength, and radiation resistance. BAlN alloys have been suggested as heterobarriers for BAlN/AlN structures, and as quantum barriers for AlN quantum wells, potentially enabling optoelectronics in the ultradeep-UV range.128,133

Hexagonal BN may be synthesized by CVD employing boron trichloride, ammonia, and hydrogen precursors.132 Interestingly, the reverse reaction can be used for etching, i.e., the use of BCl3/H2 plasma chemistry will form volatile etch products under ion-assisted conditions where the physical component is used to break bonds. h-BN is stable in air up to 1000 °C, under vacuum up to 1400 °C, and in an inert atmosphere to 2800 °C.132 An advantage of h-BN is its compatibility with AlGaN, and because of its good p-type doping capability and favorable band alignment, h-BN has advantages over AlN as a material for electron-blocking and hole-injector layers in AlGaN-based optoelectronics.132,133

There have been a number of plasma chemistries reported for dry etching of BN,140 including CH4/Ar at a rate of ∼3 nm min−1, Cl2/Ar, and Ar/H2, selective vapor phase etching of h-BN over c-BN using NH3 or HCl at >600 °C, CF4 /H2, SF6, and Cl2/BCl3/Ar under ICP conditions reaching 1.25 μm min−1. The etch products are expected to be BClx and N2 with chlorine-based chemistries and BFx and NF3 and N2 in fluorine-based discharges. The dependence of etch rate on ICP power at fixed rf power and pressure are shown in Fig. 12—the rates and anisotropy are clearly acceptable for device fabrication schemes.140 At very high ICP powers, the dc self-bias on the sample decreases and this reduces the efficiency of etch product removal and also the initial bond-breaking that allows the etch products to form.

FIG. 12.

ICP power dependence for h-BN epilayers etched in (a) SF6 and (b) Cl2/BCl3/Ar discharges at 6 mTorr and 150 W rf chuck power. Reprinted with permission from Grenadier et al., J. Vac. Sci. Technol. A 31, 061517 (2013). Copyright 2013, American Vacuum Society.

FIG. 12.

ICP power dependence for h-BN epilayers etched in (a) SF6 and (b) Cl2/BCl3/Ar discharges at 6 mTorr and 150 W rf chuck power. Reprinted with permission from Grenadier et al., J. Vac. Sci. Technol. A 31, 061517 (2013). Copyright 2013, American Vacuum Society.

Close modal

Ga2O3 is emerging as a viable candidate for power electronics, solar blind UV photodetectors, solar cells, and sensors with capabilities beyond existing technologies due to its large bandgap of ∼4.8 eV.141–159 The theoretical breakdown field is ∼8 MV/cm, and the electron saturation velocity is ∼107 cm/s. Combined with availability of excellent crystalline quality native substrates grown by the standard melt-growth methods, high quality epitaxial films, and existence of wide bandgap ternaries of (AlxGa1 – x)2O3 that can be used as barrier layers in modulation doped field effect transistors, there is a basis for optimism about the technological prospects.29,30,146,147 Donor doping is available in bulk and epi growth methods, and it is possible to grow semi-insulating buffer layers for lateral transistors. There is a need to pattern Ga2O3 when fabricating UV solar blind photodetectors and transistors. In the specific case of vertical rectifiers, no direct etching of the Ga2O3 is needed, but the rectifying contact and dielectric overlap (field plate) structures are defined by lithography and etching. The maximum etch rates reported to date are <150 nm min−1. The plasma chemistries tried have included Cl2/BCl3, Cl2/Ar, BCl3, BCl3/SF6, BCl3/Ar, SF6/Ar, and CF4/O2. The highest rates are achieved under high-density plasma conditions, such as ICP.148–153,156,157 For thinning of exfoliated flakes, SF6 provides a low and controllable rate.155 Once again, the CH4/H2/Ar plasma chemistry produces impractically slow etch rates.

The high bond strength of β-Ga2O3 suggests that ion-assisted etching will be the likely mechanism needed to achieve practical etch rates.153 This can be enhanced using Ar added to the chlorinated gases. The energy of ions striking the Ga2O3 is basically determined by the dc self-bias on the sample electrode. This self-bias was –102 to –820 V for our set of conditions for Cl2/Ar plasma etching. Figure 13(a) shows the Ga2O3 etch rate as a function of ICP source power for different conditions of frequency of power applied to the sample electrode (13.56 or 40 MHz) and the BCl3/Ar or Cl2/Ar plasma chemistries. The corresponding dc self-biases are shown in Fig. 13(b). Note that as the ICP source power is increased, the dc self-bias on the sample position is suppressed because of the higher ion density in the plasma. The etch rate increases monotonically with ICP source power, due to the increase in source power producing higher ion and reactive neutral densities. The highest etch rate achieved was ∼1300 Ǻ min−1 using 800 W ICP source power and 200 W chuck power (13.56 MHz) with both plasma chemistries. Shah and Bhattacharya reported a selectivity of 2.7 over an SiNx mask under similar conditions.150 

FIG. 13.

(a) β-Ga2O3 etch rate as a function of ICP power at constant 200 W rf power (13.56 MHz) for three different chamber configurations and either BCl3/Ar or Cl2/Ar plasma chemistries. (b) dc self-biases under these conditions. Reprinted with permission from Yang et al., J. Vac. Sci. Technol. B 35, 031205 (2017). Copyright 2017, American Vacuum Society.

FIG. 13.

(a) β-Ga2O3 etch rate as a function of ICP power at constant 200 W rf power (13.56 MHz) for three different chamber configurations and either BCl3/Ar or Cl2/Ar plasma chemistries. (b) dc self-biases under these conditions. Reprinted with permission from Yang et al., J. Vac. Sci. Technol. B 35, 031205 (2017). Copyright 2017, American Vacuum Society.

Close modal

Under some conditions, the BCl3-based discharges produce higher etch rates than Cl2-based.150 A possible explanation is that BCl3 radicals (probably BCl) react with the oxygen in the Ga2O3 and the BCl2+ ions provide ion-enhanced sputtering, since it is a Lewis acid with a strong affinity for removing oxides. BCl3 is known to increase the Cl radical density when added to chlorine-based discharges at low concentrations but also the ion density increases due to B+ and BClx+ formation. In Cl2-based discharges, the atomic and molecular chlorine species do not have the same ability to remove oxygen.123 

There is a threshold ion energy for the initiation of etching of ∼75 eV for pure Ar, from fitting to the standard model for ion-assisted etching in a collision-cascade process.48,65 The etch rate will be proportional to E0.5ETH0.5, where E is the ion energy and ETH is the threshold energy. Ion-assisted etching leads to anisotropic sidewalls because of the absence of the chemical etchings. which produces undercutting of patterned features.

Schottky barrier height measurements are a sensitive indicator of near-surface damage created by dry etching.151Figure 14 shows the reverse I-V characteristics of diodes fabricated on the etched surfaces using either 40 MHz (a) or 13.56 MHz (b) rf chuck biases with different ICP powers and etch times, all with BCl3/Ar discharges. The reference diodes that were not exposed to the plasma exhibited reverse breakdown voltages of ∼50 V. In plasma exposed diodes, the reverse breakdown voltage was significantly reduced as a result of ion-induced damage and nonstoichiometry of the surface. The extent of this degradation depended on the self-bias, which controls the incident ion energy and hence the density of point defects created by the impinging ions. The damage induced by plasma exposure could be essentially be completely removed annealing at 450 °C.151,153

FIG. 14.

Reverse I-V characteristics of diodes fabricated on the etched surfaces using either the 40 MHz (top) or 13.56 MHz (bottom) rf chuck biasing conditions with BCl3/Ar discharges. Reprinted with permission from Yang et al., J. Vac. Sci. Technol. B 35, 051201 (2017). Copyright 2017, American Vacuum Society.

FIG. 14.

Reverse I-V characteristics of diodes fabricated on the etched surfaces using either the 40 MHz (top) or 13.56 MHz (bottom) rf chuck biasing conditions with BCl3/Ar discharges. Reprinted with permission from Yang et al., J. Vac. Sci. Technol. B 35, 051201 (2017). Copyright 2017, American Vacuum Society.

Close modal

Minority carrier diffusion lengths were also measured from the exponential decay of electron beam-induced current (EBIC) as a function of distance from the gate.156 The EBIC data showed only a small reduction in minority carrier diffusion length from 350 μm in the control sample to 307 μm in the plasma exposed Ga2O3. It is also possible to simulate the maximum damage created by subjecting samples to a pure Ar ICP treatment. This plasma exposure caused the built-in voltage of Ni Schottky diodes deposited on the plasma treated surfaces to decrease from 1 to −0.02 V due to the increased deep trap concentration in the near-surface region.158,159 There was an increase in the top ∼200 nm of the plasma treated layer of the concentration of E2* (Ec-0.8 eV) and E3 (Ec-1.05 eV) deep electron traps. There was also an increase in the upper ∼100 nm of the film in the concentration of deep acceptors with optical threshold for ionization of ∼2.3 and 3.1 eV. Such defects at the surface led to a significant increase in reverse current, an increase in the ideality factor in forward current, and a dramatic decrease in the diffusion length of nonequilibrium charge carriers from 450 to 150 nm. Figure 15 summarizes the change in deep trap concentration in the near-surface region as a result of Ar plasma exposure.

FIG. 15.

Summary of energy levels and their concentration detected before and after Ar plasma exposure. The length of the bars represents the relative concentrations.

FIG. 15.

Summary of energy levels and their concentration detected before and after Ar plasma exposure. The length of the bars represents the relative concentrations.

Close modal

Similarly, exposure of the Ga2O3 surface to hydrogen plasmas produced a ∼2.5 μm-thick surface region, depleted of electrons at room temperature.158,159 This thickness correlates with the hydrogen penetration depth based on previous experiments. The Fermi level pinning position in the H treated film was Ec-1.05 eV. Annealing at 450 °C decreased the thickness of the depletion layer to 1.3 μm at room temperature and moved the Fermi level pinning position to Ec-0.8 eV. Further annealing at 550 °C almost restored the starting shallow donor concentration and the spectra of deep traps dominated by Ec-0.8 eV and Ec-1.05 eV observed before hydrogen treatment. Hydrogen plasma exposure produces surface damage in the near-surface region and passivates or compensates shallow donors.

We can conclude from the published I-V, PL and EBIC data that ICP plasma creates small densities of point defects in the near-surface region of Ga2O3. The O/Ga ratio in the etched region does not change from that in the reference material, at least to the sensitivity of Auger electron spectroscopy.

The usual plasma chemistries for etching diamond are based on either O2 or H2.160–185 In the latter case, the hydrogen selectively reveals defects, with steps, etch pits, subgrains, and collapse of crystal grains appearing on the diamond films during the etching process.185 The different regions of diamond films are preferentially etched at different treatment stages by hydrogen plasma. The dielectric properties of nanocrystalline diamond can be improved by hydrogen plasma exposure, with dielectric constant close to intrinsic diamond and low dielectric loss. Kuroshima et al.175 reported the formation of atomically flat diamond (111) surfaces by anisotropic etching during hydrogen plasma treatment, a result of the different binding energies of the surface atoms. Figure 16 shows images of diamond (111) surfaces before and after hydrogen plasma etching. The trench structure of the diamond (111) surface was observed to change from hexagonal to square shape after hydrogen plasma etching for 60 h [Fig. 14(b)]. As shown in Fig. 16(c), the trench structure changed from triangular to hexagonal shape by increasing the duration of the hydrogen plasma etching treatment to 100 h.

FIG. 16.

Optical images of diamond (111) surfaces on a 10 × 10 μm2 trench structure: (a) before H2 plasma etching treatment; (b) after a 60 h H2 plasma etch; and (c) after a 100 h H2 plasma etch. Reprinted with permission from Kuroshima et al., Appl. Surf. Sci. 422, 452 (2017). Copyright 2017, Elsevier.

FIG. 16.

Optical images of diamond (111) surfaces on a 10 × 10 μm2 trench structure: (a) before H2 plasma etching treatment; (b) after a 60 h H2 plasma etch; and (c) after a 100 h H2 plasma etch. Reprinted with permission from Kuroshima et al., Appl. Surf. Sci. 422, 452 (2017). Copyright 2017, Elsevier.

Close modal

Pure oxygen plasmas have been used to produce diamond micromechanical components, while the majority of etching processes are based on oxygen plasma in combination with a secondary gas, such as Ar, Cl2, CHF3, or CF4. The latter are used to reduce micromasking that originates in particles sputtered from the mask or surfaces of the etch chamber and balance the chemical etch component from the O2. The etch products are expected to be COx species. Figure 17 shows a deep set of features etched into single crystal diamond at a rate of 0.22 μm min−1 using an O2/Ar discharge. Under ICP conditions with 800 W source power, 100 W rf chuck power, 5 mTorr pressure, etch rates of polycrystalline diamond were in the range 0.8–3 μm min−1. Muchnikov et al.181 reported etch rates for single crystal diamond of 2 μm min−1 at dc bias voltages of 240 V in Ar/Cl2. Golovanov et al.183 used SF6 plasma chemistry to achieve etch rates of 5 μm min−1 at a dc bias of 250 V, with selectivities of 3 to Al2O3, 11 to Al, 75 to Ni, and 0.14 to Mo.

FIG. 17.

SEM of smooth etched features in diamond after an Ar/O2 plasma etch. Reprinted with permission from Enlund et al., Carbon, 43, 1839 (2005). Copyright 2005, Elsevier.

FIG. 17.

SEM of smooth etched features in diamond after an Ar/O2 plasma etch. Reprinted with permission from Enlund et al., Carbon, 43, 1839 (2005). Copyright 2005, Elsevier.

Close modal

A key requirement for obtaining smooth surface morphologies is to first polish the diamond surface mechanically using the standard diamond grit process. It is also possible to cycle etch conditions to reduce the formation of micromasks.

A summary of the plasma chemistries, maximum achievable etch rates, and selectivities to common mask materials is shown in Table II. Note that selectivities well above the target value of 10 are readily achievable.

TABLE II.

Summary of common dry etch chemistries for wide bandgap and ultrawide bandgap semiconductors, achievable etch rates, and selectivity to common mask materials.

Plasma chemistryMax etch rate
(μm min−1)
Selectivity to mask
GaN 
 Cl2/Ar 0.9 30 to SiO2 
 Cl2/BCl3/Ar 0.8 15 to Ni 
 CH4/H2/Ar 0.2 10 to SiO2 
SiC 
 SF6/O2 0.5 5 to Al 
 NF3/O2 0.4 3 to SiO2 
Al0.7Ga0.3
 Cl2/BCl3/Ar 0.5 5 to PR 
BN 
 SF6 1.25 4–7 to Al 
 Cl2/BCl3/Ar 0.3 8–10 to Ni 
Ga2O3 
 BCl3/Ar 0.13 2.7 to SiNx 
 Cl2/Ar 0.13 3 to SiNx 
Diamond 
 O2/Ar 2–13 <1 to PR 
 Cl2/Ar 5 to SiO2 
 SF6/O2 2–16 75 to Ni 
 CF4/O2 1–9 50 to Al 
 H2 0.09 103 to any metal 
Plasma chemistryMax etch rate
(μm min−1)
Selectivity to mask
GaN 
 Cl2/Ar 0.9 30 to SiO2 
 Cl2/BCl3/Ar 0.8 15 to Ni 
 CH4/H2/Ar 0.2 10 to SiO2 
SiC 
 SF6/O2 0.5 5 to Al 
 NF3/O2 0.4 3 to SiO2 
Al0.7Ga0.3
 Cl2/BCl3/Ar 0.5 5 to PR 
BN 
 SF6 1.25 4–7 to Al 
 Cl2/BCl3/Ar 0.3 8–10 to Ni 
Ga2O3 
 BCl3/Ar 0.13 2.7 to SiNx 
 Cl2/Ar 0.13 3 to SiNx 
Diamond 
 O2/Ar 2–13 <1 to PR 
 Cl2/Ar 5 to SiO2 
 SF6/O2 2–16 75 to Ni 
 CF4/O2 1–9 50 to Al 
 H2 0.09 103 to any metal 

A common theme with wide bandgap and ultrawide bandgap semiconductors is their generally high bond strengths. In terms of plasma etching, this translates to ion-assisted etch mechanisms. The advantage of operating in this mode is the anisotropy of the etched features, but a drawback is the increased attention that must be paid to mask selection. It is common to use metal or dielectric masks when etching deep features in these materials. Ohmic contacts are a significant challenge for these materials. In conventional semiconductors, Ohmic contacts are typically realized by metal–semiconductor junctions in which the potential barrier becomes very thin due to high doping in the semiconductor, leading to tunneling conduction. Achieving high levels of doping in wide bandgap semiconductors is problematic. An alternative way to ion implantation doping to get good Ohmic contacts in wide bandgap materials is the etch/regrowth approach. This requires low amounts of induced damage and changes to the stoichiometry of the surface that is processed and requires low damage etch conditions. Generally, the high-density plasma etching approaches, predominantly ICP, combined with polymer-free plasma chemistries, are employed for the semiconductors discussed here.

This work at UF was sponsored by the Department of the Defense, Defense Threat Reduction Agency (No. HDTRA1-17-1-011), monitored by Jacob Calkins and also by the National Science Foundation (NSF) under No. DMR 1856662 (Tania Paskova). This work was supported by the Laboratory Directed Research and Development program at Sandia National Laboratories. Sandia National Laboratories is a multimission laboratory managed and operated by National Technology and Engineering Solutions of Sandia, LLC, a wholly owned subsidiary of Honeywell International, Inc., for the U.S. Department of Energy’s National Nuclear Security Administration under Contract No. DE-NA-0003525. The views expressed in the presentation do not necessarily represent the views of the U.S. Department of Energy or the United States Government. The authors are very grateful to their collaborators over the years, especially Chris Constantine, Dave Johnson, and Rohit Khanna of PlasmaTherm.

1.
Alex Q.
Huang
,
Proc. IEEE
105
,
2019
(
2017
).
2.
Nando
Kaminski
,
Jpn. J. Appl. Phys.
56
,
04CA03
(
2017
).
3.
T.
Paul Chow
,
Ichiro
Omura
,
Masataka
Higashiwaki
,
Hiroshi
Kawarada
, and
Vipindas
Pala
,
IEEE Trans. Electron Devices
64
,
856
(
2017
).
4.
J.
Millan
,
P.
Godignon
,
X.
Perpina
,
A.
Perez-Tomas
, and
J.
Rebollo
,
IEEE Trans. Power Electron.
28
,
899
(
2013
).
5.
A.
Merkert
,
T.
Krone
, and
A.
Mertens
,
IEEE Trans. Power Electron.
29
,
2245
(
2014
).
6.
Jin
Wang
,
Victor
Veliadis
,
Jon
Zhang
,
Yazan
Alsmadi
,
Peter R.
Wilson
, and
Mark J.
Scott
,
IEEE Power Electron. Mag.
5
,
40
(
2018
).
7.
Isik C.
Kizilyalli
,
Yanzhi
Xu
,
Eric
Carlson
,
Joseph
Manser
, and
Daniel W.
Cunningham
, “Current and future directions in power electronic devices and circuits based on wide band-gap semiconductors,” in 2017 IEEE 5th Workshop on Wide Bandgap Power Devices and Applications (WiPDA), Atlanta, GA, August 2017 (IEEE, New York, 2017).
8.
I. C.
Kizilyalli
,
E. P.
Carlson
, and
D. W.
Cunningham
, “Barriers to the adoption of wide-bandgap semiconductors for power electronics,” in 2018 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, December 2018 (IEEE, New York, 2018).
9.
J. L.
Hudgins
,
G. S.
Simin
,
E.
Santi
, and
M. A.
Khan
,
IEEE Trans. Power Electron.
18
,
907
(
2003
).
10.
R. J.
Kaplar
,
O.
Slobodyan
,
J. D.
Flicker
, and
A.
Hollis
, “A new analysis of the dependence of critical electrical field on semiconductor bandgap,” paper presented at the 236th Electrochemical Society Meeting, Atlanta, GA, October 2019.
11.
G.
Liu
,
B. R.
Tuttle
, and
S.
Dhar
,
Appl. Phys. Rev.
2
,
021307
(
2015
).
12.
E.
Santi
,
K.
Peng
,
H. A.
Mantooth
, and
J. L.
Hudgins
,
IEEE Trans. Electron Devices
62
,
434
(
2015
).
13.
Alex Q.
Huang
,
Qianlai
Zhu
,
Li
Wang
, and
Liqi
Zhang
,
CPSS Trans. Power Electron. Appl.
2
,
118
(
2017
).
14.
B. J.
Baliga
,
Semicond. Sci. Technol.
28
,
074011
(
2013
).
15.
M. J.
Scott
,
L.
Fu
,
X.
Zhang
,
J.
Li
,
C.
Yao
,
M.
Sievers
, and
J.
Wang
,
Semicond. Sci. Technol.
28
,
074013
(
2013
).
16.
J. R.
Dickerson
 et al.,
IEEE Trans. Electron Devices
63
,
419
(
2016
).
17.
J. W.
Liu
,
H.
Oosato
,
M. Y.
Liao
, and
Y.
Koide
,
Appl. Phys. Lett.
110
,
203502
(
2017
).
18.
H.
Kawarada
,
H.
Tsuboi
,
T.
Naruo
,
T.
Yamada
,
D.
Xu
,
A.
Daicho
,
T.
Saito
, and
A.
Hiraiwa
,
Appl. Phys. Lett.
105
,
013510
(
2014
).
19.
H.
Sato
and
M.
Kasu
,
Diam. Relat. Mater.
31
,
47
(
2013
).
20.
C. I.
Pakes
,
J. A.
Garrido
, and
H.
Kawarada
,
MRS Bull.
39
,
542
(
2014
).
21.
J. W.
Liu
,
M. Y.
Liao
,
M.
Imura
,
E.
Watanabe
,
H.
Oosato
, and
Y.
Koide
,
Appl. Phys. Lett.
105
,
082110
(
2014
).
22.
H.
Umezawa
,
Mater. Sci. Semicond. Process.
78
,
147
(
2018
).
23.
X.
Zhang
and
J.
Meng
, in
Ultra-Wide Bandgap Semiconductor Materials
, edited by
M.
Liao
,
B.
Shen
, and
Z.
Wang
(
Elsevier
,
Amsterdam
,
2019
), pp. 349–420.
24.
A.
Nishikawa
,
K.
Kumakura
,
T.
Akasaka
, and
T.
Makimoto
,
Appl. Phys. Lett.
88
,
173508
(
2006
).
25.
A.
Nishikawa
,
K.
Kumakura
, and
T.
Makimoto
,
Jpn. J. Appl. Phys.
46
,
2316
(
2007
).
26.
R. J.
Kaplar
,
A. A.
Allerman
,
A. M.
Armstrong
,
M. H.
Crawford
,
J. R.
Dickerson
,
A. J.
Fischer
,
A. G.
Baca
, and
E. A.
Douglas
,
ECS J. Solid State Sci. Technol.
6
,
Q3061
(
2017
).
27.
A. G.
Baca
,
A. M.
Armstrong
,
A. A.
Allerman
,
E. A.
Douglas
,
C. A.
Sanchez
,
M. P.
King
,
M. E.
Coltrin
,
T. R.
Fortune
, and
R. J.
Kaplar
,
Appl. Phys. Lett.
109
,
033509
(
2016
).
28.
N.
Yafune
,
S.
Hashimoto
,
K.
Akita
,
Y.
Yamamoto
,
H.
Tokuda
, and
M.
Kuzuhara
,
Electron. Lett.
50
,
211
(
2014
).
29.
Holger von
Wenckstern
,
Adv. Electron. Mater.
3
,
1600350
(
2017
).
30.
S. J.
Pearton
,
Jiancheng
Yang
,
Patrick H.
Carey
, IV
,
F.
Ren
,
Jihyun
Kim
,
Marko J.
Tadjer
, and
Michael A.
Mastro
,
Appl. Phys. Rev.
5
,
011301
(
2018
).
31.
Serdal
Okur
 et al.,
Vac. Technol. Coat.
5
,
31
(
2017
).
32.
M. H.
Wong
,
K.
Sasaki
,
A.
Kuramata
,
S.
Yamakoshi
, and
M.
Higashiwaki
,
IEEE Electron Dev. Lett.
37
,
212
(
2016
).
33.
M. J.
Tadjer
 et al.,
J. Electron. Mater.
45
,
2031
(
2016
).
34.
M. A.
Mastro
,
A.
Kuramata
,
J.
Calkins
,
J.
Kim
,
F.
Ren
, and
S.
Pearton
,
ECS J. Solid State Sci. Technol.
6
,
P356
(
2017
).
35.
J. W.
Coburn
,
Rev. Sci. Instrum.
411
,
1219
(
1970
).
36.
J. W.
Coburn
,
H. F.
Winters
, and
T. J.
Chuang
,
J. Appl. Phys.
48
,
3532
(
1977
).
37.
J. W.
Coburn
and
H. F.
Winters
,
J. Vac. Sci. Technol.
16
,
391
(
1979
).
38.
J. W.
Coburn
and
H. F.
Winters
,
J. Appl. Phys.
50
,
3189
(
1979
).
39.
J. W.
Coburn
and
H. F.
Winters
,
Nucl. Instrum. Methods B
27
,
243
(
1987
).
40.
H. F.
Winters
and
J. W.
Coburn
,
J. Vac. Sci. Technol. B
3
,
1376
(
1985
).
41.
H. F.
Winters
,
J. W.
Coburn
, and
T. J.
Chuang
,
J. Vac. Sci. Technol. B
1
,
469
(
1983
).
42.
J. W.
Coburn
,
Plasma Etching and Reactive Ion Etching
(
American Vacuum Society
,
New York
,
1982
).
43.
E.
Knabbe
,
J. W.
Coburn
, and
E.
Kay
,
Surf. Sci.
123
,
427
(
1982
).
44.
J. W.
Coburn
and
H. F.
Winters
,
Ann. Rev. Mater. Sci.
3
,
91
(
1983
).
45.
H. F.
Winters
,
J. W.
Coburn
, and
E.
Kay
,
J. Appl. Phys.
48
,
4973
(
1977
).
46.
H. F.
Winters
and
J. W.
Coburn
,
Surf. Sci. Rep.
14
,
61
(
1992
).
47.
K.
Koehler
,
J. W.
Coburn
,
D.
Horne
,
J. H.
Keller
, and
E.
Kay
,
J. Appl. Phys.
57
,
59
(
1985
).
48.
S.
Pearton
,
R.
Shul
, and
F.
Ren
,
MRS Internet J. Nitride Semicond. Res.
5
,
E11
(
2000
).
49.
R. J.
Shul
,
L.
Zhang
,
A. G.
Baca
,
C. G.
Willison
,
J.
Han
,
S. J.
Pearton
, and
F.
Ren
,
J. Vac. Sci. Technol. A
18
,
1139
(
2000
).
50.
S. J.
Pearton
,
C. R.
Abernathy
, and
F.
Ren
,
Appl. Phys. Lett.
64
,
2294
(
1994
).
51.
R. J.
Shul
,
S. P.
Kilcoyne
,
M.
Hagerott Crawford
,
J. E.
Parmeter
,
C. B.
Vartuli
,
C. R.
Abernathy
, and
S. J.
Pearton
,
Appl. Phys. Lett.
66
,
1761
(
1995
).
52.
R. J.
Shul
 et al.,
Appl. Phys. Lett.
69
,
1119
(
1996
).
53.
S. A.
Smith
,
C. A.
Wolden
,
M. D.
Bremser
,
A. D.
Hanser
,
R. F.
Davis
, and
W. V.
Lampert
,
Appl. Phys. Lett.
71
,
3631
(
1997
).
54.
C. B.
Vartuli
,
S. J.
Pearton
,
J. W.
Lee
,
J. D.
MacKenzie
,
C. R.
Abernathy
, and
R. J.
Shul
,
J. Vac. Sci. Technol. B
15
,
98
(
1997
).
55.
L.
Zhang
,
J.
Ramer
,
J.
Brown
,
K.
Zheng
,
L. F.
Lester
, and
S. D.
Hersee
,
Appl. Phys. Lett.
68
,
367
(
1996
).
56.
S. Y.
Han
,
H. S.
Yang
,
K. H.
Baik
,
S. J.
Pearton
, and
F.
Ren
,
Jpn. J. Appl. Phys.
44
,
7234
(
2005
).
57.
H. S.
Yang
,
S. Y.
Han
,
K. H.
Baik
,
S. J.
Pearton
, and
F.
Ren
,
Appl. Phys. Lett.
86
,
102104
(
2005
).
58.
I.
Adesida
,
A. T.
Ping
,
C.
Youtsey
,
T.
Sow
,
M.
Asif Khan
,
D. T.
Olsen
, and
J. N.
Kuznia
,
Appl. Phys. Lett.
65
,
889
(
1994
).
59.
M.
Tahhan
,
J.
Nedy
,
S. H.
Chan
,
C.
Lund
,
H.
Li
,
G.
Gupta
,
S.
Keller
, and
U.
Mishra
,
J. Vac. Sci. Technol. A
34
,
031303
(
2016
).
60.
D.
Basak
,
T.
Nakanishi
, and
S.
Sakai
,
Solid-State Electron.
44
,
725
(
2000
).
61.
J.
Ladroue
,
A.
Meritan
,
M.
Boufnichel
,
P.
Lefaucheux
,
P.
Ranson
, and
R.
Dussart
,
J. Vac. Sci. Technol. A
28
,
1226
(
2010
).
62.
R.
Cheung
,
B.
Rong
,
E.
van der Drift
, and
W. G.
Sloof
,
J. Vac. Sci. Technol. B
21
,
1268
(
2003
).
63.
Joel C.
Wong
,
Miroslav
Micovic
,
David F.
Brown
,
Isaac
Khalaf
,
Adam
Williams
, and
Andrea
Corrion
,
J. Vac. Sci. Technol. A
36
,
030603
(
2018
).
64.
D. S.
Rawal
,
H.
Arora
,
B. K.
Sehgal
, and
R.
Muralidharan
,
J. Vac. Sci. Technol. A
32
,
031301
(
2014
).
65.
R. J.
Shul
and
S. J.
Pearton
,
Handbook of Advanced Plasma Processing Techniques
(
Springer
,
Berlin
,
2011
).
66.
P.
Shields
,
M.
Hugues
,
J.
Zúñiga-Pérez
,
M.
Cooke
,
M.
Dineen
,
W.
Wang
,
F.
Causa
, and
D.
Allsopp
,
Phys. Status Solidi C
9
,
631
(
2012
).
67.
E.
Le Boulbar
,
C.
Lewins
,
D.
Allsopp
,
C.
Bowen
, and
P.
Shields
,
Microelectron. Eng.
153
,
132
(
2016
).
68.
L.-B.
Chang
,
S.-S.
Liu
, and
M.-J.
Jeng
,
Jpn. J. Appl. Phys.
40
,
1242
(
2001
).
69.
E.
Le Boulbar
,
I.
Girgel
,
C.
Lewins
,
P.
Edwards
,
R.
Martin
,
A.
Šatka
,
D.
Allsopp
, and
P.
Shields
,
J. Appl. Phys.
114
,
094302
(
2013
).
70.
Herwig
Hahn
,
Jan Berend
Gruis
,
Nico
Ketteniss
,
Felix
Urbain
,
Holger
Kalisch
, and
Andrei
Vescan
,
J. Vac. Sci. Technol. A
30
,
051302
(
2012
).
71.
Sara E.
Harrison
,
Lars F.
Voss
,
Andrea M.
Torres
,
Clint D.
Frye
,
Qinghui
Shao
, and
Rebecca J.
Nikolić
,
J. Vac. Sci. Technol. A
35
,
061303
(
2017
).
72.
Christoffer
Kauppinena
,
Sabbir Ahmed
Khan
,
Jonas
Sundqvist
,
Dmitry B.
Suyatin
,
Sami
Suihkonen
,
Esko I.
Kauppinen
, and
Markku
Sopanen
,
J. Vac. Sci. Technol.
A35
,
060603
(
2017
).
73.
A.
Tajalli
,
E.
Canato
,
A.
Nardo
,
M.
Meneghini
, and
G.
Meneghesso
,
Microelectron. Reliab.
88–90
,
572
(
2018
).
74.
Akihisa
Terano
,
Hiroyoshi
Imadate
, and
Kenji
Shiojima
,
Mater. Sci. Semicond. Process.
70
,
92
(
2017
).
75.
Zin-Sig
Kim
,
Hyung-Seok
Lee
,
Jeho
Na
,
Sung-Bum
Bae
, and
Jong-Won
Lim
,
Solid-State Electron.
140
,
12
(
2018
).
76.
Rongfu
Qiu
,
Hai
Lu
,
Dunjun
Chen
,
Rong
Zhang
, and
Youdou
Zheng
,
Appl. Surf. Sci.
257
,
2700
(
2011
).
77.
K. H.
Baik
and
S. J.
Pearton
,
Appl. Surf. Sci.
255
,
5948
(
2009
).
78.
R. J.
Shul
,
G. B.
McClellan
,
S. J.
Pearton
,
C. R.
Abernathy
,
C.
Constantine
, and
C.
Barratt
,
Electron. Lett.
32
,
1408
(
1996
).
79.
I. J.
Song
,
S. K.
Lee
,
K.
Lee
,
S. S.
Park
, and
J. Y.
Han
,
Jpn. J. Appl. Phys.
41
,
L317
(
2002
).
80.
Retsuo
Kawakami
,
Takeshi
Inaoka
,
Shingo
Minamoto
, and
Yasuyuki
Kikuhara
,
Thin Solid Films
516
,
3478
(
2008
).
81.
Shengjun
Zhou
,
Bin
Cao
, and
Sheng
Liu
,
Appl. Surf. Sci.
257
,
905
(
2010
).
82.
X.
She
,
Alex Q.
Huang
,
Óscar
Lucía
, and
Burak
Ozpineci
,
IEEE Trans. Ind. Electron.
64
,
8193
(
2017
).
83.
J.
Millan
,
P.
Godignon
,
X.
Perpina
,
A. P.
Tomas
, and
J.
Rebollo
,
IEEE Trans. Power Electron.
29
,
2155
(
2014
).
84.
G.
McDaniel
,
J. W.
Lee
,
E. S.
Lambers
,
S. J.
Pearton
,
P. H.
Holloway
,
F.
Ren
,
J. M.
Grow
,
M.
Bhaskaran
, and
R. G.
Wilson
,
J. Vac. Sci. Technol. A
15
,
885
(
1997
).
85.
J. J.
Wang
,
E. S.
Lambers
,
S. J.
Pearton
,
M.
Östling
,
C.-M.
Zetterling
,
J. M.
Grow
,
F.
Ren
, and
R. J.
Shul
,
J. Vac. Sci. Technol. A
16
,
2204
(
1998
).
86.
P.
Leerungnawarat
,
D. C.
Hays
,
H.
Cho
,
S. J.
Pearton
,
R. M.
Strong
,
C.-M.
Zetterling
, and
M.
Östling
,
J. Vac. Sci. Technol. B
17
,
2050
(
1999
).
87.
F. A.
Khan
and
I.
Adesida
,
Appl. Phys. Lett.
75
,
2268
(
1999
).
88.
P.
Chabert
,
J. Vac. Sci. Technol. B
19
,
1339
(
2001
).
89.
P.
Chabert
,
Appl. Phys. Lett.
76
,
2310
(
2000
).
90.
H.
Cho
,
K. P.
Lee
,
P.
Leerungnawarat
,
S. N. G.
Chu
,
F.
Ren
,
S. J.
Pearton
, and
C.-M.
Zetterling
,
J. Vac. Sci. Technol. A
19
,
1878
(
2001
).
91.
Liudi
Jiang
,
R.
Cheung
,
R.
Brown
, and
A.
Mount
,
J. Appl. Phys.
93
,
1376
(
2003
).
92.
S. H.
Kuah
and
P. C.
Wood
,
J. Vac. Sci. Technol. A
23
,
947
(
2005
).
93.
L.
Jiang
and
R.
Cheung
,
Microelectron. Eng.
73/74
,
306
(
2003
).
94.
M.
Lazar
,
Superlattices Microstruct.
40
,
388
(
2006
).
95.
L. J.
Evans
and
G. M.
Beheim
,
Mater. Sci. Forum
527
,
1115
(
2006
).
96.
L.
Ekinci
,
Vladimir V.
Kuryatkov
,
Daniel L.
Mauch
,
James C.
Dickens
, and
Sergey A.
Nikishin
,
J. Vac. Sci. Technol. B
32
,
051205
(
2014
).
97.
L. F.
Voss
 et al.,
J. Vac. Sci. Technol. B
26
,
487
(
2008
).
98.
Yuan-Hung
Tseng
and
Bing-Yue
Tsui
,
J. Vac. Sci. Technol. A
34
,
061305
(
2016
).
99.
Oxford Instruments Plasma Technology, White Paper January 2019.
100.
Akimasa
Tasaka
,
Yuki
Kotaka
,
Atsushi
Oda
,
Morihiro
Saito
,
Tetsuro
Tojo
, and
Minoru
Inaba
,
J. Vac. Sci. Technol. A
32
,
051303
(
2014
).
101.
Mehmet
Ozgur
and
Michael
Huff
,
J. Micromech. Syst.
26
,
456
(
2017
).
102.
Lars F.
Voss
,
Clint D.
Frye
,
Mihail
Bora
,
David L.
Hall
,
Paulius V.
Grivickas
, and
Adam M.
Conway
,
J. Vac. Sci. Technol. B
37
,
040601
(
2019
).
103.
Yigang
Huang
,
Fei
Tang
,
Zheng
Guo
, and
Xiaohao
Wang
,
Appl. Surf. Sci.
488
,
853
(
2019
).
104.
Yi
Zhang
,
Rulin
Li
,
Yongjie
Zhang
,
Dianzi
Liu
, and
Hui
Deng
,
J. Eur. Ceram. Soc.
39
,
2831
(
2019
).
105.
Hui
Deng
,
Katsuyoshi
Endo
, and
Kazuya
Yamamura
,
Int. J. Mach. Tools Manuf.
115
,
38
(
2017
).
106.
T.
Nanjo
,
A.
Imai
,
Y.
Suzuki
,
Y.
Abe
,
T.
Oishi
,
M.
Suita
,
E.
Yagyu
, and
Y.
Tokuda
,
IEEE Trans. Electron. Devices
60
,
1046
(
2013
).
107.
T.
Nanjo
,
M.
Takeuchi
,
M.
Suita
,
T.
Oishi
,
Y.
Abe
,
Y.
Tokuda
, and
Y.
Aoyagi
,
Appl. Phys. Lett.
92
,
263502
(
2008
).
108.
H.
Tokuda
,
M.
Hatano
,
N.
Yafune
,
S.
Hashimoto
,
K.
Akita
,
Y.
Yamamoto
, and
M.
Kuzuhara
,
Appl. Phys. Express
3
,
121003
(
2010
).
109.
Michael E.
Coltrin
,
Albert G.
Baca
, and
Robert J.
Kaplar
,
ECS J. Solid State Sci. Technol.
6
,
S3114
(
2017
).
110.
S.
Muhtadi
,
S. M.
Hwang
,
A.
Coleman
,
F.
Asif
,
G.
Simin
,
M.
Chandrashekhar
, and
A.
Khan
,
IEEE Electron Dev. Lett.
38
,
914
(
2017
).
111.
B. A.
Klein
 et al.,
ECS J. Solid State Sci. Technol.
6
,
S3067
(
2017
).
112.
H.
Okumura
,
S.
Suihkonen
,
J.
Lemettinen
,
A.
Uedono
,
Y.
Zhang
,
D.
Piedra
, and
T.
Palacios
,
Jpn. J. Appl. Phys.
57
,
04FR11
(
2018
).
113.
Brianna A.
Klein
 et al.,
J. Electron. Mater.
48
,
5581
(
2019
).
114.
S.
Bajaj
 et al.,
IEEE Electron. Dev. Lett.
39
,
256
(
2018
).
115.
Andrew M.
Armstrong
,
Brianna A.
Klein
,
Albert G.
Baca
,
Andrew A.
Allerman
,
Erica A.
Douglas
,
Albert
Colon
,
Vincent M.
Abate
, and
Torben R.
Fortune
,
Appl. Phys. Lett.
114
,
052103
(
2019
).
116.
Brianna A.
Klein
,
Erica A.
Douglas
,
Andrew M.
Armstrong
,
Andrew A.
Allerman
,
Vincent M.
Abate
,
Torben R.
Fortune
, and
Albert G.
Baca
,
Appl. Phys. Lett.
114
,
112104
(
2019
).
117.
Erica A.
Douglas
,
Brianna
Klein
,
Andrew A.
Allerman
,
Albert G.
Baca
,
Torben
Fortune
, and
Andrew M.
Armstrong
,
J. Vac. Sci. Technol. B
37
,
021208
(
2019
).
118.
Shahed
Reza
,
Brianna A.
Klein
,
Albert G.
Baca
,
Andrew M.
Armstrong
,
Andrew A.
Allerman
,
Erica A.
Douglas
, and
Robert J.
Kaplar
,
Jpn. J. Appl. Phys.
58
,
SCCD04
(
2019
).
119.
E. A.
Douglas
,
S.
Reza
,
C.
Sanchez
,
D.
Koleske
,
A.
Allerman
,
B.
Klein
,
A. M.
Armstrong
,
R. J.
Kaplar
, and
A. G.
Baca
,
Phys. Status Solidi A
214
,
1600842
(
2017
).
120.
Albert G.
Baca
,
Brianna A.
Klein
,
Joel R.
Wendt
,
Stefan M.
Lepkowski
,
Christopher D.
Nordquist
,
Andrew M.
Armstrong
,
Andrew A.
Allerman
,
Erica A.
Douglas
, and
Robert J.
Kaplar
,
IEEE Electron Dev. Lett.
40
,
17
(
2019
).
121.
A. G.
Baca
,
A. M.
Armstrong
,
A. A.
Allerman
,
B. A.
Klein
,
E. A.
Douglas
,
C. A.
Sanchez
, and
T. R.
Fortune
,
ECS J. Solid State Sci. Technol.
6
,
S3010
(
2017
).
122.
Albert G.
Baca
,
Brianna A.
Klein
,
Andrew A.
Allerman
,
Andrew M.
Armstrong
,
Erica A.
Douglas
,
Chad A.
Stephenson
,
Torben R.
Fortune
, and
Robert J.
Kaplar
,
ECS J. Solid State Sci. Technol.
6
,
Q161
(
2017
).
123.
Erica A.
Douglas
,
Carlos A.
Sanchez
,
Robert J.
Kaplar
,
Andrew A.
Allerman
, and
Albert G.
Baca
,
J. Vac. Sci. Technol. A
35
,
021305
(
2017
).
124.
Y.
Kubota
,
K.
Watanabe
,
O.
Tsuda
, and
T.
Taniguchi
,
Science
317
,
932
(
2007
).
125.
K.
Watanabe
,
T.
Taniguchi
,
T.
Niiyama
,
K.
Miya
, and
M.
Taniguchi
,
Nat. Photonics
3
,
591
(
2009
).
126.
K.
Watanabe
and
T.
Tanniguchi
,
Phys. Rev. B
79
,
193104
(
2009
).
127.
J.
Li
,
S.
Majety
,
R.
Dahal
,
W. P.
Zhao
,
J. Y.
Lin
, and
H. X.
Jiang
,
App. Phys. Lett.
101
,
171112
(
2012
).
128.
H. X.
Jiang
and
J. Y.
Lin
,
Semicond. Sci. Technol.
29
,
084003
(
2014
).
129.
X. K.
Cao
,
B.
Clubine
,
J. H.
Edgar
,
J. Y.
Lin
, and
H. X.
Jiang
,
Appl. Phys. Lett.
103
,
191106
(
2013
).
130.
T. C.
Doan
,
S.
Majety
,
S.
Grenadier
,
J.
Li
,
J. Y.
Lin
, and
H. X.
Jiang
,
Nucl. Instr. Meth. Phys. Res. A
748
,
84
(
2014
).
131.
T. C.
Doan
,
S.
Majety
,
S.
Grenadier
,
J.
Li
,
J. Y.
Lin
, and
H. X.
Jiang
,
Nucl. Instr. Meth. Phys. Res. A
783
,
121
(
2015
).
132.
Natalia
Izyumskaya
,
Denis O.
Demchenko
,
Saikat
Das
,
Ümit
Özgür
,
Vitaliy
Avrutin
, and
Hadis
Morkoç
,
Adv. Electron. Mater.
3
,
1600485
(
2017
).
133.
X.
Zhang
and
J.
Meng
,
Ultra-Wide Bandgap Semiconductor Materials
, edited by
M.
Liao
,
B.
Shen
, and
Z.
Wang
(
Elsevier
,
Oxford
,
2019
).
134.
J. Y.
Tsao
 et al.,
Adv. Electron. Mater.
4
,
1600501
(
2018
).
135.
A.
Werbowy
,
J.
Schmid
,
A.
Sokołowska
, and
S.
Mitura
,
Diam. Relat. Mater.
9
,
609
(
2000
).
136.
F.
Rossi
,
L.
Thomas
, and
C.
Schaffnit
,
Surf. Coat. Technol.
100/101
,
49
(
1998
).
137.
H.
Sachdev
and
M.
Strauß
,
Diam. Relat. Mater.
9
,
614
(
2000
).
138.
Hidemitsu
Aoki
,
Shinji
Tokuyama
,
Takashi
Sasada
,
Daisuke
Watanabe
, and
Takashi
Sugino
,
Diam. Relat. Mater.
17
,
1800
(
2008
).
139.
P.
Reinke
,
P.
Oelhafen
,
H.
Feldermann
,
C.
Ronning
, and
H.
Hofsäss
,
J. Appl. Phys.
88
,
5597
(
2000
).
140.
Samuel
Grenadier
,
Jing
Li
,
Jingyu
Lin
, and
Hongxing
Jiang
,
J. Vac. Sci. Technol. A
31
,
061517
(
2013
).
141.
M.
Higashiwaki
,
K.
Sasaki
,
H.
Murakami
,
Y.
Kumagai
,
A.
Koukitu
,
A.
Kuramata
,
T.
Masui
, and
S.
Yamakoshi
,
Semicond. Sci. Technol.
31
,
034001
(
2016
).
142.
Jiancheng
Yang
 et al.,
ECS J. Solid State Sci. Technol.
8
,
Q3028
(
2019
).
143.
H.
von Wenckstern
,
Adv. Electron. Mater.
3
,
1600350
(
2017
).
144.
A.
Kuramata
,
K.
Koshi
,
S.
Watanabe
,
Y.
Yamaoka
,
T.
Masui
, and
S.
Yamakoshi
,
Jpn. J. Appl. Phys.
55
,
1202A2
(
2016
).
145.
Zbigniew
Galazka
,
Semicond. Sci. Technol.
33
,
113001
(
2018
).
146.
S. J.
Pearton
,
Fan
Ren
,
M.
Tadjer
, and
J.
Kim
,
J. Appl. Phys.
124
,
220901
(
2018
).
147.
S. B.
Reese
,
T.
Remo
,
J.
Green
, and
A.
Zakutayev
,
Joule
3
,
903
(
2019
).
148.
H.
Liang
,
Y.
Chen
,
X.
Xia
,
C.
Zhang
,
R.
Shen
,
Y.
Liu
,
Y.
Luo
, and
G.
Du
,
Mater. Sci. Semicond. Proc.
39
,
582
(
2015
).
149.
J. E.
Hogan
,
S. W.
Kaun
,
E.
Ahmadi
,
Y.
Oshima
, and
J. S.
Speck
,
Semicond. Sci. Technol.
31
,
065006
(
2016
).
150.
A. P.
Shah
and
A.
Bhattacharya
,
J. Vac. Sci. Technol. A
35
,
041301
(
2017
).
151.
J.
Yang
,
S.
Ahn
,
F.
Ren
,
R.
Khanna
,
K.
Bevlin
,
D.
Geerpuram
,
S. J.
Pearton
, and
A.
Kuramata
,
Appl. Phys. Lett.
110
,
142101
(
2017
).
152.
Liheng
Zhang
,
Amit
Verma
,
Huili (Grace)
Xing
, and
Debdeep
Jena
,
Jpn. J. Appl. Phys.
56
,
030304
(
2017
).
153.
J.
Yang
,
S.
Ahn
,
F.
Ren
,
S. J.
Pearton
,
Rohit
Khanna
,
Kristen
Bevlin
,
D.
Geerpuram
, and
A.
Kuramata
,
J. Vac. Sci. Technol. B
35
,
031205
(
2017
).
154.
Sin-Liang
Ou
,
Dong-Sing
Wuu
,
Yu-Chuan
Fu
,
Shu-Ping
Liu
, and
Zhe-Chuan
Feng
,
Mater. Chem. Phys.
133
,
700
(
2012
).
155.
Y.
Kwon
,
G.
Lee
,
S.
Oh
,
J.
Kim
,
S. J.
Pearton
, and
F.
Ren
,
Appl. Phys. Lett.
110
,
131901
(
2017
).
156.
Jiancheng
Yang
 et al.,
J. Vac. Sci. Technol. B
35
,
051201
(
2017
).
157.
Soohwan
Jang
,
Sunwoo
Jung
,
Kimberly
Beers
,
Jiancheng
Yang
,
Fan
Ren
,
A.
Kuramata
,
S. J.
Pearton
, and
Kwang
Baik
,
J. Alloys Compd.
731
,
118
(
2018
).
158.
A. Y.
Polyakov
 et al.,
APL Mater.
7
,
061102
(
2019
).
159.
A. Y.
Polyakov
 et al.,
Appl. Phys. Lett.
115
,
032101
(
2019
).
160.
S.
Shikata
,
Diam. Relat. Mater.
65
,
168
(
2016
).
161.
V.
Yurov
,
E.
Bushuev
,
A.
Bolshakov
,
E.
Ashkinazi
,
I.
Antonova
,
E.
Zavedeev
,
A.
Khomich
,
V.
Voronov
, and
V.
Ralchenko
,
Phys. Status Solidi Appl. Res.
214
,
1700177
(
2017
).
162.
X.
Pan
,
Z.
Ma
, and
J.
Wu
,
Diam. Abrasives Eng.
33
,
23
(
2013
).
163.
K.
Ichikawa
,
H.
Kodama
,
K.
Suzuki
, and
A.
Sawabe
,
Thin Solid Films
600
,
142
(
2016
).
164.
J.
Schmitt
,
W.
Nelissen
,
U.
Wallrabe
, and
F.
Völklein
,
Diam. Relat. Mater.
79
,
164
(
2017
).
165.
I.
Villalpando
,
P.
John
,
S.
Porro
, and
J. I. B.
Wilson
,
Diam. Relat. Mater.
20
,
711
(
2011
).
166.
N.
Tsubouchi
,
Y.
Mokuno
, and
S.
Shikata
,
Diam. Relat. Mater.
63
,
43
(
2016
).
167.
P.
John
and
M. D.
Stoikou
,
Phys. Chem. Chem. Phys.
13
,
11503
(
2011
).
168.
Z.
Ma
,
J.
Wu
, and
W.
Shen
,
Appl. Surf. Sci.
289
,
533
(
2014
).
169.
Liang
Zhao
,
Jian-Cang
Su
,
Xi-Bo
Zhang
,
Ya-Feng
Pan
,
Rui
Li
,
Bo
Zeng
,
Jie
Cheng
,
Bin-Xiong
Yu
, and
Xiao-Long
Wu
,
Laser Particle Beams
32
,
197
(
2014
).
170.
Wu
Jun
,
Ma
Zhi-Bin
,
Shen
Wu-Lin
,
Yan
Lei
,
Pan
Xin
, and
Wang
Jian-Hua
,
Acta Phys. Sin.
62
(
7
),
075202
(
2013
).
171.
M.
Naamoun
,
A.
Tallaire
,
F.
Silva
,
J.
Achard
,
P.
Doppelt
, and
A.
Gicquel
,
Phys. Status Solidi
209
,
1715
(
2012
).
172.
S. G.
Ri
,
H.
Watanabe
, and
M.
Ogura
,
J. Cryst. Growth
293
,
311
(
2006
).
173.
He
Jiang
,
Fengbin
Liu
,
Hongjuan
Yan
,
Lina
Si
, and
Zhaoliang
Dou
,
Surf. Coat. Technol.
363
,
12
(
2019
).
174.
Zilong
Zhang
,
Jian
Huang
,
Xinyu
Zhou
,
Yifan
Xi
, and
Linjun
Wang
,
Mater. Lett.
246
,
114
(
2019
).
175.
Hiroki
Kuroshima
,
Toshiharu
Makino
,
Satoshi
Yamasaki
,
Tsubasa
Matsumoto
,
Takao
Inokuma
, and
Norio
Tokuda
,
Appl. Surf. Sci.
422
,
452
(
2017
).
176.
M.-L.
Hicks
,
Alexander C.
Pakpour-Tabrizi
, and
Richard B.
Jackman
,
Diam. Relat. Mater.
97
,
107424
(
2019
).
177.
Y.
Tao
and
C. L.
Degen
,
Nano Lett.
15
,
7893
(
2015
).
178.
A.
Toros
,
M.
Kiss
,
T.
Graziosi
,
H.
Sattari
,
P.
Gallo
, and
N.
Quack
,
Microsyst. Nanoeng.
4
,
12
(
2018
).
179.
T.
Izak
,
A.
Kromka
,
O.
Babchenko
,
M.
Ledinskya
,
K.
Hruska
, and
E.
Verveniotis
,
Vacuum
86
,
799
(
2012
).
180.
J.
Enlund
,
J.
Isberg
,
M.
Karlsson
,
F.
Nikolajeff
,
J.
Olsson
, and
D. J.
Twitchen
,
Carbon
43
,
1839
(
2005
).
181.
A. B.
Muchnikov
,
A. L.
Vikharev
,
J. E.
Butler
,
V. V.
Chernov
,
V. A.
Isaev
,
S. A.
Bogdanov
,
A. I.
Okhapkin
,
P. A.
Yunin
, and
Y. N.
Drozdov
,
Phys. Status Solidi A
212
,
2572
(
2015
).
182.
C. L.
Lee
,
E.
Gu
,
M. D.
Dawson
,
I.
Friel
, and
G. A.
Scarsbrook
,
Diam. Relat. Mater.
17
,
1292
(
2008
).
183.
A. V.
Golovanov
,
V. S.
Bormashov
,
N. V.
Luparev
,
S. A.
Tarelkin
,
S. Y.
Troschiev
,
S. G.
Buga
, and
V. D.
Blank
,
Phys. Status Solidi A
215
,
1800273
(
2018
).
184.
Y.
Ando
,
Y.
Nishibayashi
,
K.
Kobashi
,
T.
Hirao
, and
K.
Oura
,
Diam. Relat. Mater.
11
,
824
(
2002
).
185.
D. T.
Tran
,
C.
Fansler
,
T. A.
Grotjohn
,
D. K.
Reinhard
, and
J.
Asmussen
,
Diam. Relat. Mater.
19
,
778
(
2010
).