Processing at the atomic scale is becoming increasingly critical for state-of-the-art electronic devices for computing and data storage, but also for emerging technologies such as related to the internet-of-things, artificial intelligence, and quantum computing. To this end, strong interest in improving nanoscale fabrication techniques such as atomic layer deposition (ALD) has been present. New ALD processes are being sought continuously and particularly plasma-assisted processes are considered an enabler for a wide range of applications because of their enhanced reactivity. This review provides an update on the status and prospects of plasma-assisted ALD with a focus on the developments since the publication of the review by Profijt et al. [J. Vac. Sci. Technol. A 29, 050801 (2011)]. In the past few years, plasma ALD has obtained a prominent position in the field of ALD with (i) a strong application base as demonstrated by the breakthrough in high-volume manufacturing; (ii) a large number of established processes, out of which several are being enabled by the plasma step; and (iii) a wide range of plasma ALD reactor designs, demonstrating many methods by which plasma species can be applied in ALD processes. In addition, new fundamental insights have been obtained, for instance, with respect to plasma damage, on the effect of ions on the material properties and on the so-called redeposition effect. Regarding new and emerging developments, plasma ALD is expected to take a prominent position in the atomic-scale processing toolbox and will contribute to ongoing developments in area-selective deposition, controlled growth of 2D materials, and atomic layer etching.
I. INTRODUCTION
To allow the continuous miniaturization of devices, there is a strong drive for processing of materials at the atomic scale. With the trend toward the internet-of-things, artificial intelligence, and quantum computing, this holds not only for state-of-the-art electronic devices for computing and data storage, but also for emerging devices involving electronic, mechanical, thermal, acoustic, chemical, optical, and biomedical functions.1,2 Some prominent examples of such emerging devices are piezoelectric energy harvesters,3 micro-bolometers,4 photonic integrated circuits,5 and lab-on-a-chip devices.6 Besides miniaturization, atomic-scale processing allows for precise interface engineering and utilization of nanoscale effects, i.e., effects where material properties are markedly different at the nanoscale compared to those for the bulk.7 The utilization of nanoscale effects has been found to provide new functionalities and improved performance for many types of devices.2 Moreover, besides classical nanoscale effects, quantum effects have gathered a lot of attention since they bring the promise of quantum computing and the profound implications and applications associated with it. To give an example, atomic-scale processing is expected to be beneficial for quantum computing, as the lifetime of the used qubits can depend on losses in thin (∼2 nm) oxides and losses through surface defects.8,9
To manufacture these devices, a wide range of techniques is utilized. Three key steps in manufacturing are deposition or growth, patterning, and etching. Atomic layer deposition (ALD) is one processing technique that has been widely adopted for the growth of nanometer-scale films of a variety of materials.10–12 Characteristic of the method is the sequential self-limiting surface half-reactions, which lead to uniform and conformal films even on very demanding substrate topologies. Besides the control at small length scales, there are also increasingly stringent requirements on material properties and limits on processing temperature. Therefore, a strong research effort into ALD has been present for the past few decades. Due to this research effort, many materials can be deposited by ALD nowadays, as shown in the periodic table diagrams of Fig. 1. However, many of these are not ideal ALD processes or have limited temperature windows. New chemistries are being sought continuously, and the use of a plasma as one of the steps in ALD has been a common method to extend the processing capabilities of ALD.13,14 The manner in which plasma ALD differs from thermal ALD is by the use of a plasma as the reactant (e.g., an O2 plasma instead of H2O exposure to grow oxides). Interestingly, plasma ALD—which originally started as a niche method to expand the capabilities of ALD—has become an enabling technology in high-volume manufacturing and a large field of study in itself. Its use in high-volume manufacturing is mostly for double patterning, but it is currently also considered for masking and barrier layers, gate spacers, and low temperature encapsulation layers for emerging memories. Furthermore, plasma ALD and related methods are expected to be a prominent part of atomic-scale processing techniques envisaged for emerging developments.
Periodic tables illustrating for which elements processes have been reported for ALD of (a) oxides, (b) nitrides, (c) pure elements, (d) sulfides, (e) fluorides, and (f) phosphates. The color indicates whether the reported processes are thermal ALD processes, plasma ALD processes, or both have been reported (for black and white printing, the shade of grey and whether the element is printed in bold font can be used as indication). The used references are listed in Table III in Appendix A. Up to date as of February 1, 2019.
Periodic tables illustrating for which elements processes have been reported for ALD of (a) oxides, (b) nitrides, (c) pure elements, (d) sulfides, (e) fluorides, and (f) phosphates. The color indicates whether the reported processes are thermal ALD processes, plasma ALD processes, or both have been reported (for black and white printing, the shade of grey and whether the element is printed in bold font can be used as indication). The used references are listed in Table III in Appendix A. Up to date as of February 1, 2019.
The review paper by Profijt et al. gave an overview and discussion around the basics, opportunities, and challenges for plasma ALD present at that time.13 In this follow-up review, we will describe the status and prospects of plasma-assisted atomic layer deposition, where the focus will lie on new developments since the publication of the paper by Profijt et al.13 First, some of the significant developments that have occurred over the past few years are reviewed. Second, the ongoing scientific and technological challenges are discussed, and finally new or emerging advances are discussed with an outlook to the future. Note that the goal of this review is not to be a comprehensive overview of all processes and developments that have been reported. The aim is to provide a focused overview of the status of plasma ALD and the challenges and opportunities ahead.
II. MOST SIGNIFICANT DEVELOPMENTS OVER THE PAST FEW YEARS
In the early 2000s, interest in thermal ALD was focusing on deposition of gate oxide layers in the so-called front-end-of-line processes of field-effect transistor fabrication.15 At that time, plasma ALD was mostly seen in the industry as having potential in the back-end-of-line segment of interconnect technology.16 Narrowing of Cu lines meant that the Cu diffusion barrier material stacks containing materials such as TiN and TaN had to be deposited in structures with a higher aspect ratio and at the same time with lower thicknesses. Physical vapor deposition (PVD) methods were expected to have reached their limits, while ALD would be intrinsically much more suitable for conformal deposition of these metal nitrides. The enhanced reactivity of plasma ALD was needed to achieve sufficient material quality and the right material properties within the temperature limits of back-end-of-line processing, i.e., ≤400 °C.17 Interestingly, PVD remained the method of choice in the back-end-of-line much longer than expected and plasma ALD did not enter high-volume manufacturing until more recent years. How and where plasma ALD was introduced will be discussed in Sec. II A. To provide further context to changes in the field of plasma ALD, advances in processes and tools will also be reviewed. These developments will be treated in three sections, namely, (i) the breakthrough of plasma ALD in high-volume manufacturing, (ii) the increased number of established plasma ALD materials and processes, and (iii) the increased diversity of plasma ALD tools available.
A. Breakthrough of plasma ALD in high-volume manufacturing
Around 2009, there were initial indications in the open literature for plasma ALD of SiO2 to become a vital method for self-aligned patterning.18 At that time, the 32-nm logic node was stretching the limits of immersion lithography using 193-nm wavelength light. To be able to manufacture the 22-nm node, more advanced multiple patterning techniques would have to be employed. Besides the multiple patterning techniques using multiple lithography steps, which can suffer from image placement limitations, there was a lot of interest in self-aligned techniques. For these techniques, the alignment of the individual patterns to each other is inherent to the technique and not affected by image placement. In self-aligned double patterning (SADP), a spacer is deposited conformally over a patterned mandrel. After etching of the top and bottom parts of the spacer, and subsequent mandrel removal, the resulting pitch is half of the original pitch. The related research activities were mostly occurring at corporate labs and were therefore not so visible in the open literature.
Now several years later, SADP is indispensable among producers of Flash and dynamic random-access memory devices due to the repetitive patterns used which are relatively easy to produce by SADP. For instance, SADP has been used for Flash memory devices since the 30–35 nm node.19 SADP is also used in logic device fabrication for defining FinFETs (also with repetitive patterns) and for performance-critical interconnects, where reports state the 22-nm node as the point of first use for FinFETs.20 Moreover, Intel has stated specifically that the subsequent 14-nm node was where SADP was introduced also for interconnects.21 A key requirement for SADP has been the earlier mentioned conformal deposition of the spacer material (typically SiO2) to have accurate sidewall thicknesses which are needed for accurate reproduction of the desired pattern.18 The spacer deposition typically has to occur at low temperature owing to the involvement of temperature sensitive materials and to account for issues such as the stress in the deposited film.22 Thermal ALD of SiO2 is challenging and generally requires high temperatures, while plasma ALD of SiO2 is a relatively facile and quick process.23,24 Interestingly, the use of ALD for self-aligned patterning has become the biggest of all the individual ALD markets and has resulted in a recurring upward adjustment of the predicted market size of ALD equipment for the future, as shown in Fig. 2(a); here, the main process used is plasma ALD of SiO2.
(a) Actual and forecasted ALD semiconductor equipment market size over the years. The adjustment of recent predictions to higher values is related to the emergence of additional applications, such self-aligned patterning employing plasma ALD [courtesy of Dr. I. Raaijmakers (ASM), data sources Gartner and ASM]. (b) Number of publications per year up to and including 2017 about plasma ALD and about ALD in general (including both thermal and plasma). Scopus.com searches performed on November 1, 2018 in article title, abstract, and keywords where search term for total is “atomic layer deposition” and terms for plasma ALD are “plasma-assisted atomic layer deposition,” “plasma-enhanced atomic layer deposition,” “radical-enhanced atomic layer deposition,” or “plasma atomic layer deposition.”
(a) Actual and forecasted ALD semiconductor equipment market size over the years. The adjustment of recent predictions to higher values is related to the emergence of additional applications, such self-aligned patterning employing plasma ALD [courtesy of Dr. I. Raaijmakers (ASM), data sources Gartner and ASM]. (b) Number of publications per year up to and including 2017 about plasma ALD and about ALD in general (including both thermal and plasma). Scopus.com searches performed on November 1, 2018 in article title, abstract, and keywords where search term for total is “atomic layer deposition” and terms for plasma ALD are “plasma-assisted atomic layer deposition,” “plasma-enhanced atomic layer deposition,” “radical-enhanced atomic layer deposition,” or “plasma atomic layer deposition.”
There is a constant push for further extension of the capabilities of multiple patterning techniques due to the ongoing demand for further miniaturization. Extreme ultraviolet (EUV) has become available as a possible alternative, but cost and throughput compared to immersion lithography combined with multiple patterning are still prohibitive to replace most process steps.25 To this end, besides the use of plasma ALD for SADP, its use for self-aligned quadruple patterning (SAQP) has been demonstrated as shown in Fig. 3.22,26,27 In the case of SAQP, the doubling of the pattern resolution is carried out in a similar process as SADP, by basically performing SADP twice where ALD is applied to the features resulting from the first double patterning step. Intel has stated that they use SAQP for the 10-nm node.28 Since aligned deposition occurs twice and the resulting features are smaller, the requirements on the ALD processes are stricter for SAQP compared to SADP and the drive for usage of plasma is even bigger. There are many possible processing schemes for SAQP due to the increased number of steps with also more materials involved, but two general schemes with respect to the use of ALD are (1) the second spacer is deposited on a hard-mask material etched using the first spacer as a mask, in this case, SiO2 can be used for both spacers [Fig. 3(a)]; or (2) the second ALD spacer is directly deposited on the first ALD spacer (i.e., “spacer-on-spacer”), in this case, the two spacer deposition processes have to be for different materials as shown in Fig. 3(b). Raley et al. have demonstrated this second approach where plasma ALD of SiO2 was used for the first spacer and ALD of TiO2 was used for the second spacer.27 They argue that even though the temperature limitations for the second spacer are less stringent than for the first spacer, they get better roughness performance for plasma ALD of TiO2 at 150 °C than for thermal ALD of TiO2 at 250 °C. Besides TiO2, SiNx has also been used as a second spacer and this material is typically also deposited by plasma ALD. Note that in this case the second spacer was not deposited on the first spacer but on an etched a-Si hard mask.22 For further scaling below the 10 nm node, if EUV is not used, self-aligned octuple patterning will have to be used, which will bring additional complications and again stricter requirements.29 At these advanced nodes, EUV will most likely be combined with the existing immersion lithography and multiple patterning schemes, for instance, by doing fin patterning by SAQP and then fin cuts by EUV.30,31 The large diversity of methodologies and the related stringent and varying requirements on the spacer deposition process demonstrate that further development of (plasma) ALD processes will be needed. Therefore, it is expected that the use of plasma ALD for high-volume manufacturing will stretch beyond plasma ALD of SiO2 for self-aligned patterning. With the most recent nodes, there have already been indications of further plasma ALD use. For instance, SiNx has been indicated to be used as a protective permanent spacer for the high-κ/metal gate in FinFETs.32 Furthermore, plasma ALD SiO2 has been indicated to be used to deposit the dielectric insulation layer between memory cells in Intel's 3D Xpoint. Here, both high material quality and high conformality are reasons for using plasma ALD.32
Schematics of SAQP processes. The mandrels are the patterns that define where the sidewall spacers are subsequently situated. (a) SAQP process with two hard mandrels (Ref. 26). Both spacers can be SiO2 prepared by plasma ALD. (b) SAQP process employing a spacer-on-spacer scheme (Refs. 22 and 27). The first mandrel is the organic film from the lithography stack with on top a thin Si antireflective coating. This process could also be done with the first mandrel being a hard mandrel. Since the first spacer serves as the mandrel for the second spacer, the spacer materials cannot be the same (e.g., if one is SiO2, the other could be TiO2). For both spacer schemes, conformality and high film quality are needed to enable correct target pattern reproduction.
Schematics of SAQP processes. The mandrels are the patterns that define where the sidewall spacers are subsequently situated. (a) SAQP process with two hard mandrels (Ref. 26). Both spacers can be SiO2 prepared by plasma ALD. (b) SAQP process employing a spacer-on-spacer scheme (Refs. 22 and 27). The first mandrel is the organic film from the lithography stack with on top a thin Si antireflective coating. This process could also be done with the first mandrel being a hard mandrel. Since the first spacer serves as the mandrel for the second spacer, the spacer materials cannot be the same (e.g., if one is SiO2, the other could be TiO2). For both spacer schemes, conformality and high film quality are needed to enable correct target pattern reproduction.
Self-aligned patterning is used in an ever-growing number of applications and for more and more steps.31 Since for many of the spacer deposition steps plasma ALD is preferred, high-throughput plasma ALD tools are required. To achieve the numbers of wafers processed per hour, industrial suppliers have developed relatively compact modular plasma ALD systems as will be discussed in Sec. II C. As a side effect, the use of plasma ALD on an industrial scale for self-aligned patterning can increase the use of plasma ALD for other applications (see Sec. IV E), since the extensive usage drives tool and process optimization and demonstrates the feasibility in high-volume manufacturing.
B. Increased number of established materials and processes
For plasma ALD, the number of publications has grown steadily over the past few years. Figure 2(b) shows that the number of publications in plasma ALD follows the same trend as the total number of ALD publications, although plasma ALD is still a relatively small fraction of the total ALD publications, roughly 10 times smaller. For ALD in general, if radioactive and gaseous elements are excluded, then compounds for all elements have been deposited with only Cs and Tl as exception. Due to the smaller body of research for plasma ALD compared to thermal ALD, a smaller number of materials have been deposited by plasma ALD. Nevertheless, many new compounds have been deposited over the past few years since the review paper by Profijt et al. appeared as shown in Table I.13 Furthermore, Fig. 1 shows that many processes have been done by both thermal and plasma ALD. Several industrially relevant processes have been improved in terms of resulting material quality or process properties, for instance, by significantly extending temperature windows. The selected highlights of plasma ALD process developments are summarized in Secs. II B 1–II B 5 per material group.
Compounds prepared by plasma ALD which were not reported before May 31, 2011 as those were reported in the review by Profijt et al. (Ref. 13). The used precursors, reactants, and the related references are indicated. For the plasma gases in the case of multiple plasma steps in the process, the subsequent steps are separated by a comma. A dash (“-”) indicates that a plasma gas mixture was used. In case, no plasma was used in a step this is indicated by “(thermal).” Up to date as of February 1, 2019.
Material . | Precursor . | Plasma gases . | References . |
---|---|---|---|
Au | MeP-AuMe3 | O2, H2O (thermal) | 33 |
AlF3 | AlMe3 | SF6 | 34 |
Al4P6O21 | AlMe3 | Me3PO4, O2 | 35 |
Al2S3 | AlMe3 | H2S | 36 |
B2O3 | B(NMe2)3 | O2 | 37 |
BaO | Ba(iPr3Cp*)2 | O2 | 38 |
BaTiO3 | Ba(iPr3Cp*)2, Ti(OiPr)4 | O2 | 38 |
CeO2 | Ce(iPrCp)3 | O2 | 39, 40 |
CoNx | Co(iPr2AMD)2 | NH3 | 41 |
Co3.2P2O9 | CoCp2, Me3PO4 | O2 | 42 |
Dy2O3 | Dy(iPrCp)2(N-iPr-amd) | O2 | 43 |
Fe2O3 | FeCp(C5H4C-Me3) | O2 | 44 |
FeP1.5O4.7 | FeCp(C5H4C-Me3) | Me3PO4, O2 | 45 |
GaSx | GaMe3 | H2S | 46 |
Gd2O3 | Gd(iPrCp)3 | O2 | 47 |
GdN | Gd(MeCp)3 | N2 | 48 |
In2O3 | In(tmhd)3 | O2 | 49 |
InN | InMe3 | N2 | 50 |
Li2CO3 | LiOtBu | O2 | 51, 52 |
LiCoO2 | LiOtBu, CoCp2 | O2 | 52 |
LiPON | LiOtBu, Me3PO4 | N2 | 53 |
MgO | MgCp2 | O2 | 54 |
Cu-Mn alloy | Cu(1-NMe2-2-Me-2-OBu)2, Mn(thd)3 | H2-N2 | 55 |
MnO | Mn(thd)3 | NH3 | 56 |
Mn3O4 | Mn(thd)3 | H2O | 56 |
Mo2N | Mo(NtBu)2(StBu)2 | H2 | 57 |
MoNxCy | Mo(NtBu)2(NMe2)2 | H2-N2 | 58 |
MoO3 | Mo(NtBu)2(NMe2)2 | O2 | 59, 60 |
MoS2 | Mo(CO)6 | H2S | 61 |
SnNx | Sn(NMe2)4 | N2 | 62 |
TaCx | Ta[CH2CMe3]3Cl2 | H2 | 63 |
TiP1.7O5.6 | Ti(OiPr)4 | Me3PO4, O2 | 64 |
TiVNx | Ti(NMe2)4, V(NMe2)4 | N2 | 65 |
VNx | V(NEtMe)4 | NH3 | 66 |
V1.1PO4.3 | V(NEtMe)4 | Me3PO4, O2 | 67 |
WCx | W(CO)(EtC≡CEt)3 | H2-N2 | 68 |
WS2 | WF6 | H2, H2S (thermal) | 69, 70 |
ZnP2.2O4.5 | ZnEt2 | Me3PO4, O2 | 71 |
ZnS | ZnEt2 | H2S | 72 |
Material . | Precursor . | Plasma gases . | References . |
---|---|---|---|
Au | MeP-AuMe3 | O2, H2O (thermal) | 33 |
AlF3 | AlMe3 | SF6 | 34 |
Al4P6O21 | AlMe3 | Me3PO4, O2 | 35 |
Al2S3 | AlMe3 | H2S | 36 |
B2O3 | B(NMe2)3 | O2 | 37 |
BaO | Ba(iPr3Cp*)2 | O2 | 38 |
BaTiO3 | Ba(iPr3Cp*)2, Ti(OiPr)4 | O2 | 38 |
CeO2 | Ce(iPrCp)3 | O2 | 39, 40 |
CoNx | Co(iPr2AMD)2 | NH3 | 41 |
Co3.2P2O9 | CoCp2, Me3PO4 | O2 | 42 |
Dy2O3 | Dy(iPrCp)2(N-iPr-amd) | O2 | 43 |
Fe2O3 | FeCp(C5H4C-Me3) | O2 | 44 |
FeP1.5O4.7 | FeCp(C5H4C-Me3) | Me3PO4, O2 | 45 |
GaSx | GaMe3 | H2S | 46 |
Gd2O3 | Gd(iPrCp)3 | O2 | 47 |
GdN | Gd(MeCp)3 | N2 | 48 |
In2O3 | In(tmhd)3 | O2 | 49 |
InN | InMe3 | N2 | 50 |
Li2CO3 | LiOtBu | O2 | 51, 52 |
LiCoO2 | LiOtBu, CoCp2 | O2 | 52 |
LiPON | LiOtBu, Me3PO4 | N2 | 53 |
MgO | MgCp2 | O2 | 54 |
Cu-Mn alloy | Cu(1-NMe2-2-Me-2-OBu)2, Mn(thd)3 | H2-N2 | 55 |
MnO | Mn(thd)3 | NH3 | 56 |
Mn3O4 | Mn(thd)3 | H2O | 56 |
Mo2N | Mo(NtBu)2(StBu)2 | H2 | 57 |
MoNxCy | Mo(NtBu)2(NMe2)2 | H2-N2 | 58 |
MoO3 | Mo(NtBu)2(NMe2)2 | O2 | 59, 60 |
MoS2 | Mo(CO)6 | H2S | 61 |
SnNx | Sn(NMe2)4 | N2 | 62 |
TaCx | Ta[CH2CMe3]3Cl2 | H2 | 63 |
TiP1.7O5.6 | Ti(OiPr)4 | Me3PO4, O2 | 64 |
TiVNx | Ti(NMe2)4, V(NMe2)4 | N2 | 65 |
VNx | V(NEtMe)4 | NH3 | 66 |
V1.1PO4.3 | V(NEtMe)4 | Me3PO4, O2 | 67 |
WCx | W(CO)(EtC≡CEt)3 | H2-N2 | 68 |
WS2 | WF6 | H2, H2S (thermal) | 69, 70 |
ZnP2.2O4.5 | ZnEt2 | Me3PO4, O2 | 71 |
ZnS | ZnEt2 | H2S | 72 |
1. Oxides
Historically, the main focus for ALD of oxides has been on high-κ dielectrics. For this application, one of the main reasons for exploring plasma ALD is the desire for improved electrical quality (e.g., a lower leakage current and a higher dielectric constant), while at the same time there is a concern for potential electronic defects caused by the plasma as also discussed in the previous review paper (see also Sec. III C).13 There are some cases where good performance for sensitive devices was achieved. For example, an Al2O3/HfO2 stack deposited by plasma ALD has recently been used as high-κ dielectric for an InGaAs high-mobility channel [Fig. 4(a)].73,74 The plasma conditions were adjusted to minimize plasma damage to the InGaAs and allow good device performance, as demonstrated by, for instance, a capacitance equivalent thickness of only ∼1.5 nm for the stack with a low density of interface traps of <1012 cm−2 eV−1.73,75 Similarly, for growth on 2D materials, high device performance has been achieved. Here, plasma ALD of HfO2 was shown to allow uniform growth of sub-5-nanometer high-κ dielectrics on 2D-MoS2, while maintaining good electrical properties.76 Besides high-κ dielectrics, many other applications can benefit from ALD of oxides as well, such as moisture diffusion barrier layers and functional layers for sensitive electronics such as organic light emitting displays. When precursor reactivity is sufficient, processes can be run at very low temperature due to the high reactivity of plasma. For instance, Al2O3, SiO2, TiO2, and WO3 have been deposited by ALD at room temperature where the cycle times were relatively short.77,78 Many barrier applications desire deposition on temperature sensitive substrates or fully-formed devices, which limits the allowed thermal budget and makes low temperature deposition essential. Several plasma ALD processes have been demonstrated to yield excellent moisture diffusion barriers at these temperatures.79,80 Lithium oxide compounds have received quite some attention for use in battery applications, but for Li, as a very reactive element, it has been difficult to find a suitable precursor. Lithium oxides are typically hygroscopic and need relatively long purge times in the case of thermal ALD using H2O.81 To this end, plasma ALD processes were investigated and processes for Li2CO3 and LiCoO2 have been demonstrated.51,52,82 For the electrolyte LiPON, N2 plasma has been used to dope the film with nitrogen using a multistep process [(ABC)m] as shown in Fig. 5.53 Also, thermal ALD of LiPON has been shown where diethyl phosphoramidate was used to dope the film with nitrogen.85 Although fully stoichiometric oxides are desired for most applications, resistance-switching memory typically requires oxygen-deficient layers to avoid the need for high-voltage electroforming. Recently, electroforming-free devices were demonstrated using plasma ALD of oxygen-deficient TaOx.86 The TaOx films were deposited using an oxygen-containing Ta precursor [Ta(OEt)5] and Ar/H2 plasma. Generally speaking, for oxides, plasma ALD is sought to obtain material quality or properties otherwise difficult to obtain, however, at the same time interface quality can be a concern which has to be taken into account as well.
Plasma ALD layers in devices as reported in the literature: (a) Cross section transmission electron microscopy (TEM) image taken across the channel of a replacement-metal-gate InGaAs FinFET, showing an ∼17-nm-thick InGaAs channel directly on a buried oxide (BOX). The zoom-in shows the high-κ/metal gate (HKMG) stack deposited on a 15-nm-wide fin using plasma ALD of HfO2 and Al2O3 as high-κ dielectric (Ref. 73). Reprinted with permission from Djara et al., IEEE Electron Device Lett. 37, 169 (2016). Copyright 2012, IEEE. (b) Cross section TEM image taken along the channel of an InGaAs FinFET, showing the InGaAs channel between raised source and drain (RSD) modules and 12-nm-thick SiNx spacers deposited by plasma ALD (zoom-in). Reprinted with permission from Djara et al., IEEE Electron Device Lett. 37, 169 (2016). Copyright 2012, IEEE. (c) TEM image of epitaxial growth of AlN on AlGaN resulting in a device with low defect levels. Plasma pretreatments of the surface are deemed essential to achieve the epitaxial growth. Reprinted with permission from Huang et al., IEEE Electron Device Lett. 33, 516 (2012).74 Copyright 2016, IEEE.
Plasma ALD layers in devices as reported in the literature: (a) Cross section transmission electron microscopy (TEM) image taken across the channel of a replacement-metal-gate InGaAs FinFET, showing an ∼17-nm-thick InGaAs channel directly on a buried oxide (BOX). The zoom-in shows the high-κ/metal gate (HKMG) stack deposited on a 15-nm-wide fin using plasma ALD of HfO2 and Al2O3 as high-κ dielectric (Ref. 73). Reprinted with permission from Djara et al., IEEE Electron Device Lett. 37, 169 (2016). Copyright 2012, IEEE. (b) Cross section TEM image taken along the channel of an InGaAs FinFET, showing the InGaAs channel between raised source and drain (RSD) modules and 12-nm-thick SiNx spacers deposited by plasma ALD (zoom-in). Reprinted with permission from Djara et al., IEEE Electron Device Lett. 37, 169 (2016). Copyright 2012, IEEE. (c) TEM image of epitaxial growth of AlN on AlGaN resulting in a device with low defect levels. Plasma pretreatments of the surface are deemed essential to achieve the epitaxial growth. Reprinted with permission from Huang et al., IEEE Electron Device Lett. 33, 516 (2012).74 Copyright 2016, IEEE.
Schematic representation of the various steps in (a) a regular ALD process, (b) a multistep process, and (c) a supercycle. In a multistep process, one or more additional steps are added in the ALD cycle to form, for instance, an ABC process. Such an ABC process can be used for ALD of noble and inert metals (e.g., Pt or Au) (Refs. 33 and 83), but also for the growth of multi-element materials such as LiPON (Ref. 53), or even area-selective ALD of SiO2 where one step doses an inhibitor (Ref. 84). Steps can consist of precursor doses, various plasmas, and other reactants or substances. In a supercycle, cycles of two (or more) ALD processes are combined where m cycles of the first process are followed by n cycles of the second process. Reprinted with permission from Knoops et al., Handbook of Crystal Growth (Elsevier, New York, 2015), pp. 1101–1134. Copyright 2015, Elsevier.
Schematic representation of the various steps in (a) a regular ALD process, (b) a multistep process, and (c) a supercycle. In a multistep process, one or more additional steps are added in the ALD cycle to form, for instance, an ABC process. Such an ABC process can be used for ALD of noble and inert metals (e.g., Pt or Au) (Refs. 33 and 83), but also for the growth of multi-element materials such as LiPON (Ref. 53), or even area-selective ALD of SiO2 where one step doses an inhibitor (Ref. 84). Steps can consist of precursor doses, various plasmas, and other reactants or substances. In a supercycle, cycles of two (or more) ALD processes are combined where m cycles of the first process are followed by n cycles of the second process. Reprinted with permission from Knoops et al., Handbook of Crystal Growth (Elsevier, New York, 2015), pp. 1101–1134. Copyright 2015, Elsevier.
2. SiO2 and SiNx
The Si-based materials SiO2 and SiNx both have received a lot of attention. These are important materials for a large set of applications but they are challenging to do by thermal ALD. For plasma ALD, SiO2 is a relatively straightforward process which also clarifies its role as a workhorse for self-aligned patterning as mentioned in Sec. II A. High conformality of SiO2 by plasma ALD is relatively easy to achieve as indicated by the conformal coating of high aspect ratio trenches with short plasma times (e.g., 30:1 as shown in Ref. 23). On the other hand, plasma ALD of SiNx, even with the high reactivity of plasma, remains a challenging process with respect to obtaining the desired properties and conformality. For SiNx, there has been a strong desire to achieve both high material quality and conformal deposition at lower temperatures than previously used (e.g., below 500 °C) to be able to use SiNx as a spacer layer for sensitive high-κ/metal gate logic as shown in Fig. 4(b) as well as for emerging memory devices such as magnetoresistive random-access memory.87 Various combinations of precursors (halide or metal-organic) and plasma gases (NH3 and N2 plasmas) have been investigated,88–91 and several studies on the mechanism have been reported.92–94 Both high material quality and conformal deposition at lower temperatures have been achieved. Achieving material quality and conformality simultaneously has been successful to a certain extent but still remains a challenge.95,96 Besides work to grow high-density SiO2 and SiNx films, there is also interest in low-κ films by ALD. For instance, by doing ALD using (Me2SiO)4 precursor and Ar plasma at 100 °C to form porous low-κ SiOC with a dielectric constant of 2.7.97
3. Nitrides and carbides
Besides SiNx, other nitrides have also received a lot of attention and a significant progress has been made in their development. Figure 1(b) shows that almost all ALD nitride materials have been prepared using plasma ALD, which illustrates the progress and interest in doing nitrides by plasma ALD. Building on the interest in conductive diffusion barrier layers (e.g., TiN and TaN) present in the early 2000s, conductive nitrides have continued to receive attention. The main aims are further improvement of the material properties and the usage of metal-organic precursors instead of halides for sensitive applications (e.g., TiN ALD using Ti(NMe2)4 instead of TiCl4).98,99 Some new conductive nitrides are also reported such as VNx,66 CoNx,41 and SnNx,62 and the expectation is that in principle all stable nitrides should be feasible by plasma ALD. Superconductivity is a property that could become more of interest because of quantum-computing applications, and the demonstration of plasma ALD of NbN with good superconductivity is worth mentioning.100,101 Furthermore, since the nitrogen content can affect the superconducting properties, the expected ability to tune the stoichiometry, as is often possible by plasma ALD, can be a benefit.102,103 Limiting impurity levels is generally an issue for nitride ALD, where having low O2 and H2O background levels in the ALD reactor is required. Furthermore, applying substrate biasing during the plasma exposure step has recently been demonstrated to reduce oxygen content levels for conductive TiN and HfNx.104,105
There has been relatively strong interest in semiconducting and dielectric III-nitride compound semiconductors (i.e., AlN, GaN, and InN), because of their application in optoelectronics and high power and high-frequency electronics.106 For these applications, currently metal-organic chemical vapor deposition and molecular beam epitaxy are used to achieve high-quality epitaxial III-nitride layers with low impurity concentrations and decent electrical properties. However, high deposition temperatures are needed for these techniques whereas plasma ALD is expected to allow high-quality material at lower temperatures (thermal ALD of these nitrides generally does not lead to good quality at low temperatures). In this respect, several good results for plasma ALD are reported. AlN and GaN have been extensively studied by Biyikli and co-workers;106–108 Eddy and co-workers have been able to deposit InN epitaxially on several surfaces;50 Chen and co-workers have deposited AlN epitaxially on GaN as shown in Fig. 4(c).109 In these studies often the effect of surface treatments cannot be separated from the deposition itself since conditioning of the surface of the compound semiconductor might be as important for the resulting device performance as the properties of the nitride deposited by the ALD process (this will be discussed in Sec. III C).
In nitride ALD, the material has often been observed to contain some carbon impurities, and interestingly in some cases, the levels are such that the material is better labeled a carbide than a nitride. This effect has been augmented in a few reports where the composition is controlled to come close to a pure carbide. Recently, ALD of TaCx (Ref. 63) and WCx (Ref. 68) was reported with minimal nitrogen levels.
4. Metals
Developing processes for metals remains a challenging aspect for ALD. Plasma ALD is an empowering technology for ALD of metals and pure elements as can be seen in Fig. 1(c). Metals in the Pt group have been reported for quite some time (Pt, Ru, Pd, Ir, Rh, Os), and due to their catalytic properties and ease of reduction to the metallic state, they can be considered as fairly easy.110,111 Other metals that are relatively easy to reduce to the metal state have been considered as well (i.e., Co, Ni, W), although the processes for these are not as straightforward as those for Pt group metals. Metals that oxidize easily (e.g., relatively electropositive metals) are less common although Ti, Ta, and Al have been reported and were investigated in the early period where there was a strong push to use plasma ALD for Cu barrier stacks in the back-end-of-line.112,113 For some metals, finding an ALD chemistry has been challenging due to a lack of suitable precursors and reducing agents. Only since 2011 growth of Ag with proper ALD behavior and decent cycle times has been reported and plasma was used to attain it.114 Subsequently, the use of plasma has enabled the ALD of Au for the first time.33 The growth of Au by ALD was a major achievement in light of Au's relatively low reactivity. The plasma ALD process for Au is enabled by the fact that it is a multistep process (ABC) using O2 plasma and H2O dosage. With further development of precursors and reactants and the insights from the plasma ALD process, thermal ALD of Au has also recently been demonstrated.115 Yet, it remains a challenging process. In general, multistep processes have allowed improvements for metal deposition. For instance, room temperature deposition of Pt using an O2 plasma step would lead to oxide formation, while using both O2 and H2 plasma steps in a multistep process has been reported to result in high-quality Pt deposition.83 Similarly, the deposition of Pd at 100 °C using H2 and O2 plasma steps was demonstrated.116 A general ABC schematic can be drawn for these processes as shown in Fig. 5. Another interesting development is the ALD of Cu-Mn alloy, which results in self-forming of an MnSiOx barrier for Cu lines.55 Even though this does not demonstrate the possibility of Mn metal ALD directly, it does suggest that growing alloys might provide a route to deposit certain materials in the metallic state.
5. Sulfides, fluorides, and phosphates
Historically, plasma ALD has mainly been used for oxides, nitrides, and metals. For compounds such as sulfides and other less common ALD materials, generally, thermal ALD was used. However, with the advent of using different plasma gases, namely, H2S, SF6, and Me3PO4 as can be seen in Table I, sulfides, fluorides, and phosphates have now also been deposited by plasma ALD. Figures 1(d)–1(f) indicate the emerging use of plasma for these compounds. Especially, H2S is expected to become more common with the interest in 2D transition metal dichalcogenides (TMDs) and sulfides in general (as will be discussed in Sec. IV B). Interestingly, the use of SF6 and Me3PO4 as plasma gas does not lead to significant incorporation of sulfur or carbon impurities in fluorides and phosphates, respectively, even though these are abundant in the plasma source gas. Me3PO4 as plasma gas actually yields a depositing plasma when operated below ∼250–300 °C due to plasma polymerization.35 Above these temperatures, ALD behavior can be obtained. In general, the ALD reaction mechanisms and reactive species in these novel plasma systems need further study.
C. Diversity of plasma ALD tools
An increasing number of plasma reactor configurations are nowadays used for ALD and are often also commercially available. While a first priority in plasma ALD reactor design is to effectively get a high flux of plasma species to the sample surface, several plasma reactor designs also aim at limiting or controlling the flux of energetic ions and photons to avoid potential damage. Three main classes have been distinguished, labeled as direct plasma, remote plasma, and radical enhanced as shown at the top of Fig. 6.13,117 In the case of direct plasma, the substrate on which deposition is carried out is positioned within the main plasma zone and is involved in plasma generation. For a remote plasma, the substrate on which deposition is carried out is positioned outside of the main plasma generation zone. The definition used in this work is that plasma generation can be remote, even when there is active plasma above the substrate. Control of parameters can make the plasma “more” or “less” remote by reducing or enhancing the flux of species toward the wafer, respectively. The term “Radical-enhanced” is generally used to describe configurations where only the radicals generated in the plasma have sufficient lifetime to reach the substrate area. Some cases where the term remote plasma is used might be closer to radical-enhanced and vice versa. Since this distinction can be vague, here in this review, we will label both cases generally as remote plasma. This section will not focus on the comparison between these three main classes, as this has been done previously,13,118 but on the diversity of plasma sources and how they are used in different reactor configurations. Table II shows a list of plasma ALD configurations with typical industrial and academic affiliations mentioned. General schematics of the reactor configurations but also specific examples are shown in Fig. 6 to further illustrate the large variety of reactor configurations and the plasma sources used in them. The remainder of this section will discuss the various configurations and their distinguishing features.
Plasma ALD reactor concepts showing the diversity of how plasma can be used in ALD. Besides the general distinction between direct plasma, remote plasma, and radical-enhanced ALD reactors, there is also a range of reactor concepts for temporal, wafer type systems; spatial plasma ALD tools; and ALD tools to perform plasma ALD on particles. Used plasma sources include CCP, ICP, hollow cathode, microwave ECR, and SDBD sources.
Plasma ALD reactor concepts showing the diversity of how plasma can be used in ALD. Besides the general distinction between direct plasma, remote plasma, and radical-enhanced ALD reactors, there is also a range of reactor concepts for temporal, wafer type systems; spatial plasma ALD tools; and ALD tools to perform plasma ALD on particles. Used plasma sources include CCP, ICP, hollow cathode, microwave ECR, and SDBD sources.
Overview of plasma ALD reactor configurations specifying the reactor type and the plasma source. Information on the typical pressure range and relevant companies/institutes is also provided (not comprehensive).
Reactor type . | Plasma source . | Key feature . | Pressure (Torr) . | Companies/institutes . | References . |
---|---|---|---|---|---|
Temporal, wafer type | Capacitively-coupled plasma (CCP) | Direct plasma (in most configurations) | 0.1–2 | ASM, Lam Research, TEL, Applied Materials, Wonik IPS, Beneq, NCD Technology, Sentech | 24, 119, 120 |
Inductively-coupled plasma (ICP) | Remote plasma | 0.01–1 | Oxford Instruments, Veeco/CNT, Picosun, Kurt J. Lesker, SVT Associates | 117 | |
Hollow cathode plasma | Remote plasma | 0.3–2 | Meaglow | 106, 121 | |
Microwave Electron Cyclotron Resonance (ECR) | Remote plasma | <0.005 | Picosun/Hitachi, Beijing Inst. Graphic Comm. | 122, 123 | |
Spatial | Capacitively-coupled plasma (CCP) | Direct plasma, wafer type | 0.1–2 | Beneq, Applied Materials, Lotus Applied Technology, TEL | 124 |
Capacitively-coupled plasma (CCP) | Roll-to-roll | <2 | Lotus Applied Technology | 125 | |
Atmospheric surface dielectric barrier discharge (SDBD) | Atmospheric pressure, wafer type | 760 | TNO, University of Wuppertal | 126–131 | |
Particle | Hollow cathode plasma | Rotating drum | 0.3–2 | ALD NanoSolutions | 132 |
Inductively-coupled plasma (ICP) | Rotating drum | 0.3–2 | Ghent University | 133 |
Reactor type . | Plasma source . | Key feature . | Pressure (Torr) . | Companies/institutes . | References . |
---|---|---|---|---|---|
Temporal, wafer type | Capacitively-coupled plasma (CCP) | Direct plasma (in most configurations) | 0.1–2 | ASM, Lam Research, TEL, Applied Materials, Wonik IPS, Beneq, NCD Technology, Sentech | 24, 119, 120 |
Inductively-coupled plasma (ICP) | Remote plasma | 0.01–1 | Oxford Instruments, Veeco/CNT, Picosun, Kurt J. Lesker, SVT Associates | 117 | |
Hollow cathode plasma | Remote plasma | 0.3–2 | Meaglow | 106, 121 | |
Microwave Electron Cyclotron Resonance (ECR) | Remote plasma | <0.005 | Picosun/Hitachi, Beijing Inst. Graphic Comm. | 122, 123 | |
Spatial | Capacitively-coupled plasma (CCP) | Direct plasma, wafer type | 0.1–2 | Beneq, Applied Materials, Lotus Applied Technology, TEL | 124 |
Capacitively-coupled plasma (CCP) | Roll-to-roll | <2 | Lotus Applied Technology | 125 | |
Atmospheric surface dielectric barrier discharge (SDBD) | Atmospheric pressure, wafer type | 760 | TNO, University of Wuppertal | 126–131 | |
Particle | Hollow cathode plasma | Rotating drum | 0.3–2 | ALD NanoSolutions | 132 |
Inductively-coupled plasma (ICP) | Rotating drum | 0.3–2 | Ghent University | 133 |
1. Temporal, wafer type
The most common plasma ALD tools are based on temporal ALD where the dose and purge steps are separated in time to achieve the ALD behavior. They are mostly designed to deposit on wafers (e.g., 300-mm diameter Si wafers). For plasma ALD in the industry, mostly capacitively-coupled plasmas (CCPs) are used [e.g., tools supplied by ASM, Lam Research, Tokyo Electron Limited (TEL), and Applied Materials]. CCP reactors are typically operated between pressures of 0.1 and 2 Torr and are considered direct. To make CCP plasmas indirect, a grid can be used as the lower electrode above the substrate which reduces ion flux and energy to the substrate as demonstrated by Beneq.134
Inductively-coupled plasma (ICP) reactors are quite common for ALD research and development (e.g., tools supplied by Oxford Instruments, Veeco/CNT, and Picosun). ICP reactors are generally operated at lower pressures (e.g., 10–200 mTorr) compared to CCP reactors and are considered as remote plasma configurations. Besides changing the operating pressure to modify the energy of the ions reaching the surface, RF power can be applied to the substrate table (i.e., substrate biasing) to increase the energy of the ions, as is possible on certain Oxford Instruments FlexAL systems.104 A possible reason would be to tune the material properties of films to be deposited.
A more recent addition to the types of plasma sources for ALD is the hollow cathode source introduced in 2013 by Meaglow Ltd.,106 which is typically used at similar pressures as the CCP but is also considered as remote. Another newcomer is the use of Microwave Electron Cyclotron Resonance (ECR) for the plasma generation which was announced by Picosun and Hitachi in 2017 and studied in homebuilt systems by Wei et al.123,135 ECR sources generally use very low pressure (e.g., below 5 mTorr), which is much lower than generally used for ALD. Note that besides the pressures mentioned here also atmospheric-pressure plasma ALD has been performed in a temporal form.131
2. Spatial ALD
A strategy to increase the throughput of plasma ALD is to apply spatial ALD concepts. In spatial ALD, the precursor and reactant dose steps are separated in space by purge regions instead of being separated in time by purge steps for temporal ALD. In general, for spatial plasma ALD, there might be a compromise between optimal pressure for separation of the zones (high pressure is good for isolation of the regions) and optimal plasma pressure (<2 Torr is used to strike and maintain most plasmas). Both low and high pressure concepts have been demonstrated. Low pressure concepts for spatial plasma ALD have been announced by Lotus Applied Technology in 2009 where, for instance, a wafer rotates through different zones in a large chamber, or a foil is carried through different reactor regions as shown in Fig. 6, i.e., roll-to-roll deposition.125,136 TEL announced their NT333™ spatial (plasma) ALD tool in 2012, where they emphasize that multiple 300-mm wafers can be in the chamber at the same time to maximize throughput.137 Similarly, Applied Materials announced a spatial plasma ALD tool in 2015, similar to the first Lotus Applied Technology and TEL concepts, where a wafer rotates through different zones. They also specifically mentioned that the process could be combined with surface treatments. Through a license from Lotus Applied Technology, Beneq also announced a spatial plasma ALD tool in 2016, where a wafer rotates through different zones.138
To benefit from the excellent isolation of the spatial regions that atmospheric pressure brings, spatial ALD using atmospheric-pressure plasma has been developed by both the Netherlands organization for applied scientific research (TNO) and the University of Wuppertal independently (first reported in 2015).126–130,139 In both cases, surface dielectric barrier discharge (SDBD) plasmas are used as shown in Fig. 6. These configurations allow for creation of a homogeneously distributed plasma over large areas, while having high pressure for facile separation of the precursor and plasma regions. Open questions on the lifetime of plasma species and the composition of the flux of plasma species to the surface need further investigation, but the process results suggest reactive species levels comparable to low pressure concepts for most processes.126–128
3. Particle ALD
Rotary reactors using a rotating drum such as the one from Ghent University published in 2012 (Ref. 133) can be used to coat particles effectively by plasma ALD (Fig. 6). Even though the plasma might not diffuse in between the particles fully, if the particle mixing is adequate and fast enough, all particles should receive sufficient plasma exposure. The term “rotary reactor” has created some confusion since it has been used to indicate both a rotary spatial ALD tool for flat substrates124 and a rotating drum reactor for particle coating.
III. SCIENTIFIC AND TECHNOLOGICAL CHALLENGES
Besides large strides that have been made in plasma processing, there are also subjects in which deeper insights and successes have been obtained, but which remain challenging from both a scientific and technological vantage point. Plasma ALD is typically performed with a wide range of different plasma species present near the surface. But, the variety of species present makes it very complex to predict what happens in the ALD process and what needs to be done to optimize or improve the process. Figure 7 illustrates some of the processes considered important in plasma ALD based on recent insights and previous work.13 The general expected role of the various species and key questions is briefly discussed first, while the illustrated effects themselves will be addressed individually in Secs. III A–III E.
Schematic to illustrate species and processes important in plasma ALD. Plasma species generated from the feedstock gas interact with the surface, lead to film deposition, and affect film composition, structure, and defect levels. The species and processes indicated can have an effect on material quality, conformality, and damage. Substrate holder aspects such as deposition temperature and applied voltage or grounding will have an influence as well. Reaction products formed on substrate and wall surfaces can dissociate in the plasma, redeposit, and get incorporated in the film being prepared. Reactor aspects such as the gases used, background species, and pumping speed all have to be considered in possible processes during plasma ALD.
Schematic to illustrate species and processes important in plasma ALD. Plasma species generated from the feedstock gas interact with the surface, lead to film deposition, and affect film composition, structure, and defect levels. The species and processes indicated can have an effect on material quality, conformality, and damage. Substrate holder aspects such as deposition temperature and applied voltage or grounding will have an influence as well. Reaction products formed on substrate and wall surfaces can dissociate in the plasma, redeposit, and get incorporated in the film being prepared. Reactor aspects such as the gases used, background species, and pumping speed all have to be considered in possible processes during plasma ALD.
Highlighted in Fig. 7 are the plasma species present such as radicals, ions, electrons, and photons. Their energy and density depend on input power and process pressure. Radicals are generally considered as one of the key growth species as they are numerous and reactive. Radicals can be lost through recombination (e.g., O radicals recombining back into O2), which can happen in the gas phase and at low pressures predominantly occurs at surfaces. Surface recombination has been indicated as a challenge for conformality, although conformal deposition can in principle still be reached by providing sufficient exposure as will be discussed in Sec. III D.140 Note that there are other neutral species in the plasma which can be reactive, e.g., singlet 1Δg molecular oxygen in an O2 plasma which has 0.98 eV of energy. These species might contribute to reactions and help the ALD process but are generally not considered in the main reaction mechanisms as they are less reactive than radicals and are typically less numerous.141 Note that excited molecular oxygen species are sometimes also labeled as radicals, in this review the term radicals is used to indicate atomic or molecular species with unpaired electrons such as O, H, N, NH, and NH2. Ions, although less numerous than radicals, are considered as a contributor to the plasma ALD process due to their possible high kinetic energy. They have been shown to be able to increase the mass density of materials and influence other material properties.104,142 Besides beneficial effects, ions can cause damage as is known from sputter and etch literature, although the energies and fluxes present during plasma ALD are typically lower.143–145 What is meant by the term “damage” will be addressed in Sec. III C. Free electrons and photons are typically expected to have a much smaller effect on film growth than ions even if they would have similar fluxes146 and are generally not considered to play a role in ALD reactions. Free electrons have been suggested to assist in the desorption of hydrogen from surfaces in processes using electron beams to remove ligands from the surface and to create dangling bonds as growth sites.147 Electrons could therefore play a role in the desorption of surface species in plasma ALD processes. Photons are expected to have a minor influence in the growth itself. Nevertheless, photons can assist in surface reaction as is done in photon-assisted ALD processes.148 Furthermore, photons can definitely have an effect on electronic properties of devices.143
The flux and energy of radicals, ions, electrons, and photons depend on plasma input power and pressure and are typically not easily measured or predicted which makes it difficult to understand their exact roles. Besides the already inferred roles of the different species, many technical and fundamental questions remain regarding the effect of plasma species on ALD surface reactions. For example, how do the fluxes and energies of plasma species affect the ALD chemistry and what are their exact roles? Only recently more extensive first-principles calculations are being performed to get more insight into the mechanism of plasma radicals reacting with the surface present after the precursor exposure.149 For plasma etching, ion-radical synergy effects and threshold ion energies above which reactions proceed have been studied;150 for plasma deposition, these effects are expected to be present as well. A first insight into the role of ions has been obtained by studies in which the ion energy was enhanced by RF substrate biasing.104,142,145 Figure 8 shows some of the effects on material properties identified that occur due to increased ion energies by applying substrate biasing. These effects indicate that ions can have a strong role in ALD surface reactions and can be used to tailor film properties and/or eventually cause damage. To a lesser extent, these effects could also be caused by low energy ions and initial indications of energy thresholds are being identified.145 Note that effects specific to low energy ions would need further study as they could be different. Besides ion energy and flux, ion mass also can have a significant influence. For instance, when adding Ar gas to a light plasma gas such as H2, the momentum transfer during ion-surface interaction can be much more effective.104 Besides affecting average ion mass, the addition of Ar is often performed for other reasons such as improving the ability to strike a plasma or to maintain high-energy electron densities and Ar addition is found to influence film and process properties as well.151 Some insights into potential damage by plasma photons have been identified as will be discussed later,143 but generally which plasma species cause what type of damage in the material and devices still needs to be investigated. For the growth of nitrides, the difference between NH3 and H2/N2 plasmas is an open question, since it is known that both plasmas show similarities in terms of species (e.g., both contain NH3, H2, N2, and a range of NxHy radicals and ions) and differences in terms of plasma parameters (e.g., difference in electron density and temperature and concentrations of the different species). For ALD of Co, it was found that very similar results for H2/N2 plasma as reactant could be obtained compared to NH3 plasma as a reactant, at least in case the ratio of the H2/N2 gas mixture was chosen such that a large amount of NH3 was produced.152–154 In general, the difference in process results between using H2/N2 and NH3 plasma is expected to be minor. Yet, a faster nucleation with H2/N2 plasma than with N2/NH3 plasma was observed for ALD of Ru,155 which could point out that the differences in plasma composition can have a significant effect in certain cases. An additional option is to separate the plasma step in multiple steps (being thermal or plasma). This was, for instance, explored by Tian et al. for ALD of AlN, in this work an ABC cycle using AlMe3, H2 plasma, and NH3 gas was used to obtain AlN with low oxygen (1.5 at. %) and carbon content (1 at. %).156 Further study is needed to understand what combination of plasma exposures and gas mixtures is best to obtain facile growth and high material quality.
Schematic illustration representative of the material properties and process control enabled by ion energy control through substrate biasing during plasma ALD on planar and 3D substrate topographies. Note that also in plasma ALD without substrate biasing such effects could occur even though the effects would typically be small due to lower ion energies. Reproduced from Faraz et al., ACS Appl. Mater. Interfaces 10, 13158 (2018), licensed under a Creative Commons Non-Commercial No Derivative Works (CC-BY-NC-ND) Attribution License, ACS AuthorChoice.
Schematic illustration representative of the material properties and process control enabled by ion energy control through substrate biasing during plasma ALD on planar and 3D substrate topographies. Note that also in plasma ALD without substrate biasing such effects could occur even though the effects would typically be small due to lower ion energies. Reproduced from Faraz et al., ACS Appl. Mater. Interfaces 10, 13158 (2018), licensed under a Creative Commons Non-Commercial No Derivative Works (CC-BY-NC-ND) Attribution License, ACS AuthorChoice.
In Secs. III A–III E, the discussions are guided by key scientific and technological challenges. Notable cases that have been identified here are (1) plasma-surface interactions, (2) the ALD of metals, (3) plasma ALD on sensitive surfaces, (4) conformal deposition, and (5) low temperature deposition.
A. Plasma-surface interaction
Plasma-surface interaction is a well-known and well-studied phenomenon in plasma physics. It is illustrated for plasma ALD in Fig. 7. The “surface” is defined in this work as all surfaces in the reactor, including chamber walls and the substrate itself. Therefore, plasma-surface interaction is also present in systems with negligible reactor wall area compared to the substrate surface area. As can be seen, plasma-surface interaction occurs both directly between plasma and surfaces and indirectly when surface products interact with the plasma and affect the growth subsequently. More specifically, plasma-surface interaction is an essential part of the plasma ALD process, for instance, when radicals react with precursor ligands at the surface to allow for precursor adsorption in the next cycle. Another key plasma-surface interaction that influences the ALD process is energetic ions densifying the surface region of thin films. Both interactions are examples of how the plasma affects the surface. However, the reverse can also be important and is discussed in this section, where surfaces affect the plasma composition and its properties. For instance, surface recombination probabilities can affect radical densities in a plasma. For instance, for O radicals from O2 feedstock gas, a silica covered chamber wall can result in higher radical densities in the plasma compared to a chamber wall covered by copper due to a lower surface recombination probability for O radicals on the chamber surface in the first case. Furthermore, reactor and substrate surfaces can also release volatile species containing elements not present in the feedstock gas and affect the plasma composition. Both cases where surfaces affect the plasma composition are illustrated in Fig. 9. In Fig. 9(a), feedstock gas species are dissociated in the plasma where, for instance, H2 and N2 are dissociated into H and N radicals. On the surface, these dissociated species react with each other and can release species into the gas phase. For example, H2 and N2 can be formed on the surface from H and N radicals. However, NH3 also can be formed when H and N radicals are present at the same time.157 Note that formation of NH3, H2, and N2 from radicals is expected to mostly occur on surfaces and not in the gas phase at the commonly used low plasma pressures.158
Illustration of plasma ALD reaction with two examples of plasma-surface interaction. In general, plasmas have interaction with surfaces where species react and are formed. (a) For instance, H2-N2 plasmas lead to NH3 formation at surfaces. When released in the plasma, the formed NH3 can subsequently be dissociated. (b) In the case of growth or etch processes, the surface can also provide species to the gas phase. For instance, adsorbed carbon species can be removed by H radicals leading to CH4 formation. The interaction of the plasma with the formed CH4 can lead to CHx species in the plasma that can subsequently redeposit on the surface.
Illustration of plasma ALD reaction with two examples of plasma-surface interaction. In general, plasmas have interaction with surfaces where species react and are formed. (a) For instance, H2-N2 plasmas lead to NH3 formation at surfaces. When released in the plasma, the formed NH3 can subsequently be dissociated. (b) In the case of growth or etch processes, the surface can also provide species to the gas phase. For instance, adsorbed carbon species can be removed by H radicals leading to CH4 formation. The interaction of the plasma with the formed CH4 can lead to CHx species in the plasma that can subsequently redeposit on the surface.
Figure 9(b) illustrates one effect that has recently been highlighted. This effect is the dissociation of ALD reaction products and subsequent redeposition on surfaces. For SiNx, this has been observed quite clearly and a strong effect on the material properties as a function of plasma gas residence time was observed.159 There are also indications of redeposition taking place in other ALD processes, for instance, in plasma ALD of GdN and HfNx where carbon impurities together with a higher than expected growth per cycle are found for short plasma exposures,48,160 or in plasma ALD of TaNx where a persistent presence of carbon impurities and a soft saturation behavior for the plasma step was observed.157 Figure 9(b) schematically shows the process that is expected to take place for ALD of TaNx, where H2 plasma removes carbon from the surface and releases CH4 into the gas phase. The gas phase CH4 can dissociate in the plasma into reactive radicals (such as CH3) that can subsequently redeposit on the surface. The cases where redeposition effects have been most clearly observed are processes using pure H2 or N2 plasmas. Plasmas using NH3 or H2/N2 might have different reactivity due to the presence of NH and NH2 radicals and show less influence of the redeposition effect. For processes using O2 plasma steps such as plasma ALD of Al2O3 species such as CO, CO2, OH, H2O, and also CxHy have been detected in the gas phase.161 Even though these species are relatively easily removed from the surface by O2 plasma, having a long residence time could hinder the resulting material quality of oxides, by slow removal of the C- and H-containing species from the chamber and the related redeposition of these impurities. Another effect that has not yet been studied extensively is poisoning of the surface. In this effect, which is known from thermal ALD, reaction products can readsorb on the surface during the precursor step and limit precursor adsorption.12 Poisoning, in principle, can also be present during the plasma step of plasma ALD. For instance, CO has been shown to remain at the surface of Pt after the H2 plasma exposure step for a low temperature Pt process using O2 and H2 plasma steps.162 Although in this case, it did not affect the growth. For SiNx, it was shown that H-containing species from the plasma can passivate the surface and inhibit precursor adsorption.163 In principle, such effects could also be present in other ALD processes. Generally, the interaction between reaction products formed, the plasma, and the growth surface should be taken into consideration for plasma ALD.
B. ALD of metals and compounds that easily oxidize
ALD of noble metals is relatively common and through the use of a plasma can even be performed at low temperatures.83,116,164 Nonetheless, ALD of many other metals is still fairly challenging. Ni, Co, and Cu, reported by both plasma and thermal ALD are generally considered challenging to deposit.165,166 For thermal ALD, high-purity cobalt deposition was reported using formic acid although over a limited temperature window of 170−180 °C.167 ALD of Al and Ti has been reported,113,168 but is still not common. One of the reasons for this could be intrinsic to the process and material, namely, the relatively slow deposition rate of ALD and the tendency of metals to oxidize such that the metal oxide is deposited instead of metal. Indeed, if we estimate the O flux to the surface from standard base pressures and impurity levels in carrier and source gases, we easily come to levels that can explain a high oxygen content (see Appendix B). This suggests that part of the reasons behind the difficulty to grow certain metals could be relatively known factors such as water and oxygen present in the background of the vacuum system and the source gases. The reason why other techniques seem to suffer less from these O sources is best understood when also thinking of the ratio of the deposition flux and the background O species flux. For example, for PECVD typically the deposition flux is high which means the background O species do not have to be that low. For PVD of metals, which can be relatively slow, an ultrahigh vacuum (UHV) system with a pressure of ≤10−10 Torr is often used to allow for a high ratio of the deposition flux to the background O species flux. Therefore, for a relatively slow deposition technique such as ALD, in principle, the flux of background O species should be kept small, e.g., by using a UHV system. Accordingly, Sprenger et al. were able to deposit Si (not a metal but also very sensitive to oxidation) using electron-beam ALD in a UHV system.169 Note that also the plasma generation itself could be a source of oxygen, for instance, when there is a strong capacitive coupling in an ICP source which could sputter the inside of ICP dielectric tubes. This is often mitigated through the use of alumina tubes which show relatively strong plasma resilience as compared to, for instance, quartz tubes.170 With proper design and operation, these O levels are not expected to be higher than those from other background oxygen sources as indicated, for instance, by <3 at. % O content levels in TiN and SiNx deposited in such ICP systems.117,159
Ideally, the avoidance of oxygen contamination in metal deposition is not only solved by using UHV systems and higher purity chemicals and gases, but also by finding chemistries and processes that are more robust and resilient against oxidation. Limiting the time that the surface of the film is highly susceptible to oxidation during the ALD process should help as well. Another main bottleneck for metal ALD using plasmas could be precursor adsorption due to insufficient reactivity of the preceding plasma step. Therefore, the top surface after the plasma exposure step might contain unremoved ligands or related impurities (e.g., C) that hinder precursor adsorption. For Co ALD, it was found that material properties and growth improved when the plasma was optimized to have a high concentration of reactive NHx based radicals which could remove such impurities.154 Alternatively, increasing the energy and/or the flux of ions impinging on the surface could help in removing such impurities as well. In general, the control of plasma species and the use of ABC cycles (e.g., as in Fig. 5) are expected to provide ALD routes for more metals.
C. Plasma ALD on sensitive surfaces
The wide range of plasma species present above the surface as shown in Fig. 7 can benefit ALD reactions but can also cause damage to films and device structures. Damage by the plasma has been a longstanding topic of interest for plasma ALD but few in-depth studies have been performed and insights are relatively recent. Here, “damage” is defined as creation of electronic defects or as undesirable changes to composition or structure of materials caused by exposure to plasma species. For instance, vacuum UV photons can cause defect states in electronic devices and have been demonstrated to cause damage.143 Also, oxidation and modification of the underlying substrate material can be undesired which is especially a concern when using O2 plasmas. Therefore, in those cases, it is best to use a different reactant or to have the exposure and intensity of the O2 plasma minimized.171 Using a remote plasma such as ICP is a method to reduce the density and energy of ions and photons near the surface. This is specifically a concern for certain schemes of self-aligned patterning when ALD spacer films have to be deposited on resist mask films, such as polymethylmethacrylate (PMMA), which should retain their structure and thickness. Figure 10(a) shows how changing the plasma pressure and power for ICP is one way to minimize removal of the underlying PMMA film. To reduce ion energy for direct plasma systems, such as those using CCP, the pressure can be increased.174 Another option to reduce the ion energy for CCP is going to higher-frequency plasma generation (e.g., 60 vs 13.56 MHz).175 This generally decreases the resulting ion energies and increases plasma density. However, at higher frequencies, plasma uniformity can become an issue due to electromagnetic wave effects.176
Plasma ALD on various sensitive surfaces and the effect of processing conditions: (a) Rate of the PMMA removal by plasma exposures during plasma ALD. The rate is estimated by monitoring the change in thickness for O2 plasma exposures of 5 s for an ICP ALD system (Oxford Instruments FlexAL). Results show that low plasma power and higher pressure can be used to minimize removal of underlying PMMA resist during ALD on resists. Reprinted with permission from Roelofs, “Energy-enhanced ALD for nano-manufacturing by direct spacer-defined double patterning (D-SDDP),” M.Sc. thesis (Eindhoven University of Technology, 2012) (Ref. 172). (b) Clean-up of III-V surfaces by a combination of (1) pulses of ALD precursor and (2) plasma treatment steps. Alternating pulses of precursor with exposure to H2 or N2 plasmas can assist and enhance the “clean-up” effect of either individual step, where the precursor pulses are expected to mostly remove the surface oxide by converting it into the ALD oxide, and plasma is suggested to remove carbon and oxygen and to cause nitridation of the III-V interface. (c) Interface properties as determined by so-called corona-oxide characterization of semiconductors for n-type Si passivated by Al2O3 films prepared by plasma ALD using O2 plasma and thermal ALD using H2O. Data are shown for the as-deposited state and after postdeposition anneal at 425 °C in N2 ambient. After annealing, the interface defect density was reduced significantly for both deposition methods. Reprinted with permission from Macco et al., “Atomic layer deposition for high efficiency crystalline silicon solar cells,” in Atomic Layer Deposition in Energy Conversion Applications, edited by J. Bachmann (Wiley, New York, 2016). Copyright 2017, Wiley (Ref. 173).
Plasma ALD on various sensitive surfaces and the effect of processing conditions: (a) Rate of the PMMA removal by plasma exposures during plasma ALD. The rate is estimated by monitoring the change in thickness for O2 plasma exposures of 5 s for an ICP ALD system (Oxford Instruments FlexAL). Results show that low plasma power and higher pressure can be used to minimize removal of underlying PMMA resist during ALD on resists. Reprinted with permission from Roelofs, “Energy-enhanced ALD for nano-manufacturing by direct spacer-defined double patterning (D-SDDP),” M.Sc. thesis (Eindhoven University of Technology, 2012) (Ref. 172). (b) Clean-up of III-V surfaces by a combination of (1) pulses of ALD precursor and (2) plasma treatment steps. Alternating pulses of precursor with exposure to H2 or N2 plasmas can assist and enhance the “clean-up” effect of either individual step, where the precursor pulses are expected to mostly remove the surface oxide by converting it into the ALD oxide, and plasma is suggested to remove carbon and oxygen and to cause nitridation of the III-V interface. (c) Interface properties as determined by so-called corona-oxide characterization of semiconductors for n-type Si passivated by Al2O3 films prepared by plasma ALD using O2 plasma and thermal ALD using H2O. Data are shown for the as-deposited state and after postdeposition anneal at 425 °C in N2 ambient. After annealing, the interface defect density was reduced significantly for both deposition methods. Reprinted with permission from Macco et al., “Atomic layer deposition for high efficiency crystalline silicon solar cells,” in Atomic Layer Deposition in Energy Conversion Applications, edited by J. Bachmann (Wiley, New York, 2016). Copyright 2017, Wiley (Ref. 173).
In devices, the ALD layers are often in close proximity to sensitive interfaces (e.g., a high-κ dielectric on a semiconducting transistor channel), where the challenge is to deposit a high-quality ALD film without negatively affecting the interface. Although there is a concern of damage even with remote plasmas, there are many cases where plasma ALD has been used for the benefit of such sensitive devices. For instance, this is the case for devices using an InGaAs high-mobility channel where remote plasma is used directly on the channel material (as discussed in Sec. II B). Here, plasma ALD of HfO2 and Al2O3 was performed to deposit the high-κ dielectric and plasma ALD of Si3N4 was used to deposit the protective spacer for the channel as shown in Figs. 4(a) and 4(b).73,75 The defect levels achieved were quite low (<1012 eV−1 cm−2), demonstrating that plasma-based processes and low defect levels are not mutually exclusive. For the growth of high-κ dielectrics on sensitive 2D-MoS2, a recent report showed that plasma ALD of HfO2 substantially improved nucleation on MoS2 compared to thermal ALD, without hampering its electrical performance.76 Here, a remote plasma with a showerhead was used to minimize the interaction of the plasma with the surface. Top-gated FETs with high-κ films as thin as ∼3.5 nm on MoS2 were demonstrated to yield robust performance with low leakage current and strong gate control. Another challenging case where good results using plasma were obtained is passivation of power semiconductor devices. For instance, this is the case for the epitaxial growth of AlN by plasma ALD on AlGaN as shown in Fig. 4(c),74 and also in similar work where good passivation has been achieved for high-voltage devices.177
Besides the growth of thin films by plasma ALD, the combination of pulses of ALD precursors and plasma exposures has also been indicated to be a dominant factor in getting high-quality interfaces. Here, the use of precursor pulses and plasma exposures is not aimed at deposition but aimed at cleaning up impurities and defects at the interface. Figure 10(b) shows a generalization of this effect where cycles of two cleaning steps are indicated with:
The clean-up effect by pulses of ALD precursors: This effect, discussed by Klejna and Elliott, is a key factor where ALD precursors consume surface oxide of the III-V and convert the III-V oxide partly into the ALD oxide (e.g., AlMe3 converting GaOx on GaN into Al2O3).178 Note that the resulting ALD oxide remains and is used, for instance, as a part of the dielectric stack in a device.
The clean-up by exposure to N2 plasma, H2/N2 plasma, and H2 plasma:179–182 The plasma presumably removes carbon and oxygen from the surface, and nitrogen-based plasma is specifically suggested to fill in nitrogen vacancies or cause nitridation of the surface. These effects can be a “clean-up” effect to revert a partly oxidized GaN surface to a pristine state.
Pulses of these ALD precursor and plasma steps have been alternated in cycles similar as in ALD and running these steps in cycles can assist and enhance the “clean-up” effect of either individual step. Nonetheless, the clean-up effect is not the same as just deposition, since the key effect is the modification of the surface and removal of unwanted impurities.
Another alternative strategy to minimize interface defect levels is to start with thermal ALD to protect the interface from plasma exposure and then continue with plasma ALD for optimized material properties. For example, for Si metal–oxide–semiconductor devices, using ZrO2, prepared by starting with ten cycles thermal ALD and then switching to plasma ALD, enhanced the capacitance density while decreasing the interface defect density compared to using only thermal ALD or only plasma ALD for the ZrO2 layer.183 For solar cell passivation, ALD using O2 plasma performed directly on the Si surface can result in low defect levels as long as an anneal is performed afterwards. Figure 10(c) illustrates that without annealing, plasma ALD Al2O3 on Si has a much higher interface defect density than the interfaces prepared using thermal ALD. However, after annealing at 425 °C, the Si covered with plasma ALD Al2O3 actually exhibits a lower interface defect density compared to Si covered with annealed thermal ALD films.173 Good surface passivation of Si after annealing has also been demonstrated for plasma ALD of SiO2 at the base of a passivating film stack, e.g., a stack of SiO2 and Al2O3 films.173
The reactants used in the ALD process also have to be taken into account to avoid device integration issues. For noble metals, O2 plasma can be used to enhance the nucleation compared to using O2 gas as a reactant. However, in many devices, the surface to be deposited on is sensitive to oxidation. In this case, noble metals can often also be deposited using N2, NH3, H2/N2, or H2 plasma.184 A specific case where oxidation is an issue is ALD for magnetic tunnel junctions for spintronics. Performing ALD processes using oxidants directly on metallic spin sources (usually ferromagnetic films consisting of Ni, Co, Fe, and their alloys) leads to their oxidation and thus a quenching of their spintronic performance.185
Besides oxidation, nitridation can also be a concern for certain surfaces such as bare Si. One example is plasma ALD of Co on Ta using H2-N2 plasma which causes nitridation of the Ta to TaNx.186 One class of materials that is particularly sensitive to plasma damage is 2D materials such as graphene. Thermal ALD can suffer from poor nucleation on these inert surfaces so plasma processes would be of interest. However, when Al2O3 or HfO2 is deposited on graphene by plasma ALD, defect peaks are clearly observed even when a remote O2 plasma is used.187 Interestingly, H2 plasmas seem to cause less damage and a short remote H2 plasma treatment has been demonstrated to allow uniform Al2O3 ALD growth by thermal ALD. Here, a subsequent anneal reversed any damage to the graphene by the H2 plasma.188 Also, using N2 plasma has been demonstrated to allow for negligible damage on 2D materials. In this case, N2 plasma was used to improve nucleation of thermal ALD of ZrO2 on MoS2 and allow for a uniform tunnel layer for the metal contacts.189
D. Conformal deposition
Conformal deposition is one of the main benefits of ALD and also for plasma ALD, conformality is a key desired characteristic. Due to the limited lifetime of plasma species and the directionality of charged plasma species such as ions, the ease of conformal deposition has been a concern in plasma ALD. Figure 7 highlights key parameters on which conformality in plasma ALD depends and illustrates the directionality of ions and the isotropic nature of radicals going into 3D structures. The key parameters—reaction probability, recombination probability, and redeposition and poisoning—are briefly discussed below.
Reaction probability as a parameter, i.e., the probability per collision that the precursor or reactant reacts with the surface, can have a large influence in ALD processes on the ability to reach conformality and the ease thereof. For plasma ALD in low aspect ratio trenches (e.g., aspect ratio <5), reaction probability is probably also an important factor, but for high aspect ratio trenches generally recombination probability is expected to be the limiting factor.140 Recombination probability is the probability of recombination of the radicals with surface species per collision with the surface. Surface recombination causes a loss of radicals going downward in 3D structures which can make conformal deposition more difficult. On the basis of both experimental results and theoretical analysis and models, conformality for plasma ALD is much better understood than in the past. By simulation and experiments, more insight into the mechanisms was obtained and it was clarified that for processes that are radical driven, conformal deposition can be achieved as long as enough radicals are provided to compensate for radical recombination.140,190 As a rule-of-thumb, practical limits are expected to be generally around aspect ratio of 30 for oxide materials (typical recombination probability values of 10−4–10−2) and around aspect ratio 10 for nitrides and metals (typical recombination probability values of 0.03–0.3). Accordingly, conformal deposition by plasma ALD has been achieved in high aspect ratios for oxides with aspect ratio up to 60:1 for SiO2 and HfO2 by Kariniemi et al.190 Dingemans et al. have shown that conformality for plasma ALD of SiO2 is relatively facile (up to 30:1 demonstrated in that work).23 These good results can be understood due to the low recombination probability of O radicals on SiO2 surfaces, which are reported in the literature to be between 4 × 10−6 and 4 × 10−4.191 Therefore, O radicals can easily diffuse down the trench and conformal deposition can be reached even with a relatively short plasma exposure of 4.5 s.23,140 An interesting case is the void-free gap fill by plasma ALD as shown for Al2O3-TiO2 nanolaminates.192 This process was also done with relatively short plasma times (5 and 8 s), where a possible advantage could be the low substrate temperature used (i.e., 120 °C), which might result in a lower recombination rate of radicals.193 Redeposition and poisoning effects can occur in plasma ALD as discussed in Sec. III A and can also influence conformality. What the effect exactly is needs further study, but surfaces in deep structures with limited fluxes of radicals and ions can be expected to have slower removal of redeposited species or poisoned surface sites.
Besides an influence on deposited film thickness, the resulting material quality could also be affected. In general, the stringent demands that applications put on conformality but also on the film properties throughout the 3D structure to deposit on provide significant challenges. For instance, for SiNx, it has been very difficult to obtain films that are conformally deposited and simultaneously exhibit low wet-etch rate throughout the 3D structure. Achieving either property has however been possible, for instance, when using DSBAS precursor and N2 plasma as a reactant, films with low wet-etch rate throughout the structure were obtained even though conformality was limited.91 This difficulty could indicate that ions play a role in many SiNx ALD processes since ions are directional and their fluxes on the sidewalls are much lower. Note that ions reaching the surface have some angular spread and therefore the sidewalls do receive some ions.194 However, if one would try to obtain sufficient ion flux on the sidewalls for ions with sufficient energy this would be challenging. The angular spread is generally small and ions having higher angles typically also have lower ion energy and therefore would have a smaller effect on growth than on planar surfaces. Note that if ions have a beneficial effect for ALD of SiNx, the optimal ion energy is expected to be relatively low. Faraz et al. found that using increased ion energies obtained by substrate biasing during the N2 plasma exposure step degrades SiNx material properties on planar surfaces.104
E. Low temperature deposition
One of the key advantages of plasma ALD is the ability to deposit materials at lower temperatures as compared to those for thermal ALD. Understanding what limits low temperature deposition by plasma ALD can relate to all aspects illustrated in Fig. 7. Deposition at relatively low temperatures as low as room temperature has been most clearly demonstrated for oxides,77,78 which has been essential for self-aligned patterning. Some noble metals have also been deposited at relatively low temperatures. This includes Pt metal at room temperature,83,162 but also Ru has been deposited at 50 °C using RuO4 and H2 plasma.164
For moisture diffusion barriers for organic light emitting displays, low temperature deposition is essential as the devices to be protected are generally temperature sensitive. In this respect, room temperature plasma ALD of Al2O3 has demonstrated excellent barrier properties,79 and recently SiNx deposited at 120 °C has also shown excellent barrier properties even though the film composition showed relatively high carbon contents.80 For nitrides, depending on the context of the work, temperatures of 200 °C can be considered low or sometimes even <400 °C is considered low, e.g., compared to temperatures generally used in low pressure CVD of SiNx.91 Low temperature ALD of nitrides remains a big challenge. Nitrides (e.g., SiNx) deposited at lower temperatures often have higher levels of carbon impurities and more easily oxidize. Note that this oxidation could occur either in situ during growth through background oxygen species or after removal from the reactor through exposure to the ambient. One of the reasons for the increased difficulty of nitride growth compared to oxide growth in the case of metal-organic precursors could be that O2 plasma more easily removes carbon species from the surface compared to plasmas such as N2, H2, and NH3. These plasmas can also remove carbon from the surface but generally with greater difficulty.195 Redeposition effects (as discussed in Sec. III A) are expected to be more persistent at low temperatures due to slower removal of surface species. In addition, achieving low background water levels is generally more difficult in a system at low temperature than in a system at high temperature. Therefore, the influence of background water on the deposition of nitrides at low temperature can be higher, which can result in higher impurity contents.
IV. NEW AND EMERGING DEVELOPMENTS
Several developments in ALD can be identified with a role for plasma ALD. Some major expected developments which are just emerging or expected to take off in the near future are discussed in this section with a focus on the possible role of plasma ALD processes.
A. Area-selective ALD
There is large interest in area-selective ALD, since area-selective ALD can reduce the needed number of patterning steps in device manufacturing. Moreover, area-selective ALD can be used to avoid issues with pattern overlay which are becoming critical in upcoming device nodes.31,196,197 To avoid such overlay issues, the growth areas of area-selective ALD have to rely on an already-present pattern or structure on the surface (e.g., certain areas are metallic while others are dielectric) and not on the application of ALD only to certain areas through an integrated patterning step. In area-selective ALD, the ALD chemistry is chosen and controlled such that it takes place on certain areas (the growth surface) but not on others (the nongrowth surface) as shown at the top of Fig. 11. The main strategy is to control nucleation delay which can be present in ALD depending on the used chemistry and the processing and surface conditions. A typical avenue to increase the nucleation delay is to apply self-assembled monolayers (SAMs) to the nongrowth surfaces to reduce precursor adsorption in these regions.196 For plasma ALD, nucleation on most surfaces is facile since the plasma can often create surface groups for the precursor to adsorb on. Furthermore, plasma ALD would generally damage SAMs and as a result growth would nucleate also on the regions where SAMs are placed. However, clever approaches have been under development to obtain selectivity for plasma ALD. Here, three strategies will be discussed.
Principle of area-selective ALD showing growth on certain surface regions, while the growth is delayed on other “nongrowth” regions. Three strategies using plasma ALD are indicated: (a) The use of inhibitors to block precursor adsorption on the nongrowth surface. By re-applying the inhibitor in an ABC cycle, effective blocking of the growth on certain surfaces is achieved as shown in the nucleation curves below for ALD of SiO2 on a range of surfaces. Reproduced from Mameli et al., ACS Nano 11, 9303 (2017), licensed under a Creative Commons Non-Commercial No Derivative Works (CC-BY-NC-ND) Attribution License, ACS AuthorChoice. (b) Periodic etch steps to remove nuclei and refresh the surface to regain delayed growth on the nongrowth surface. Adapted from Vallat et al., J. Vac. Sci. Technol. A 35, 01B104 (2017). Copyright American Vacuum Society, 2017. (c) Topological selective inhibition or etching of surfaces using the directionality of plasma ions.
Principle of area-selective ALD showing growth on certain surface regions, while the growth is delayed on other “nongrowth” regions. Three strategies using plasma ALD are indicated: (a) The use of inhibitors to block precursor adsorption on the nongrowth surface. By re-applying the inhibitor in an ABC cycle, effective blocking of the growth on certain surfaces is achieved as shown in the nucleation curves below for ALD of SiO2 on a range of surfaces. Reproduced from Mameli et al., ACS Nano 11, 9303 (2017), licensed under a Creative Commons Non-Commercial No Derivative Works (CC-BY-NC-ND) Attribution License, ACS AuthorChoice. (b) Periodic etch steps to remove nuclei and refresh the surface to regain delayed growth on the nongrowth surface. Adapted from Vallat et al., J. Vac. Sci. Technol. A 35, 01B104 (2017). Copyright American Vacuum Society, 2017. (c) Topological selective inhibition or etching of surfaces using the directionality of plasma ions.
The first strategy to allow area-selective ALD for plasma ALD is analogous to how SAMs are used in area-selective thermal ALD. In the plasma ALD case, a gas phase inhibitor is used to limit precursor adsorption on certain surfaces (nongrowth surfaces).84 Since these inhibitors are applied in the vapor phase instead of by immersion in a liquid, their use is easily integrated with vacuum deposition techniques. The reason why plasma can still be used is that the inhibitor is reapplied in the ALD cycle after the plasma step in a multistep ABC cycle as shown in Fig. 5. The process has been demonstrated for plasma ALD of SiO2 as shown in Fig. 11(a). Here, a significant difference in nucleation can be observed depending on the tested growth surface. For instance, a strong nucleation delay was obtained on Al2O3 while facile growth and no delay were observed for growth on SiO2.84 In principle, a similar approach should also work for other materials. Some essential requirements are that the inhibitor adsorbs only on the nongrowth surface and that the inhibitor blocks precursor adsorption effectively.
The second strategy was proposed by Vallat et al. where plasma ALD is combined with plasma etch steps in a supercycle.198 They have shown that for plasma ALD of Ta2O5, adding a small amount of NF3 gas to the O2 plasma once every eight cycles allows utilization of a growth delay on Si and SiO2 surfaces versus growth on TiN.198 A generalized description of this process can be seen in Fig. 11(b), where the principle is the use of a difference in growth selectivity on surfaces and the usage of etch steps to reset the surface periodically. Note that not only traditional etching plasmas (fluorine or chlorine based) can etch materials but there are also cases where even more typical ALD plasmas might etch specific compounds (e.g., O2 plasma etching carbon related species). Ideally, the etch step is self-limiting as well, to fully preserve the benefits of uniformity and conformality of the ALD process. Note that this strategy can also be used to make thermal ALD processes more area selective.
Topological or topographically selective deposition (i.e., selective deposition depending on the local orientation of the surface) could be labeled a third strategy and can be achieved by using energetic ions to induce differing material properties at differently oriented (e.g., horizontal and vertical) surfaces of the substrate, or by promoting or inhibiting growth on horizontal surfaces as shown in Fig. 11(c). The technique is currently being studied for high-volume manufacturing in 3D-NAND where SiNx is a material of interest. By choosing plasma parameters and process chemistry for the SiNx process, growth can be made selective either on horizontal surfaces or on vertical surfaces as shown in Fig. 12. An example of how to achieve this is by using RF substrate biasing.104 Here, the SiNx film growing at horizontal surfaces had low resistance against wet etching due to the higher ion energies of the incident ions and was easily removed, resulting in film remaining selectively at the vertical surfaces. Another example of topological selectivity was obtained by using ion implantation of fluorocarbons, i.e., CFx, leading to a hydrophobic interfacial layer on horizontal surfaces. The hydrophobic layer in turn caused significant retardation of growth on these surfaces during ALD of Pt, while the Pt readily grew on vertical surfaces.199
Topological selective SiNx by plasma ALD, after dilute HF etch. Depending on plasma (deposition) parameters during the plasma ALD step, the SiNx remains on the horizontal surfaces of top and bottom (a) or on the vertical sidewalls (b). Pictures courtesy of ASM (unpublished).
Topological selective SiNx by plasma ALD, after dilute HF etch. Depending on plasma (deposition) parameters during the plasma ALD step, the SiNx remains on the horizontal surfaces of top and bottom (a) or on the vertical sidewalls (b). Pictures courtesy of ASM (unpublished).
An additional strategy to make plasma ALD selective (besides the three discussed above) is by applying the plasma species themselves only locally; for example, by using a μ-plasma printer for local plasma activation for ALD of TiO2 films at atmospheric pressure and low temperatures (<50 °C).200 Note that this strategy is principally different from the others in that for the other two processes, the already-present pattern or topology on the surface determines the area selectivity. In this last strategy, the pattern is made by applying the plasma species locally which does bring with it additional requirements and possibly issues on pattern resolution, overlay, and fidelity.
B. 2D transition metal dichalcogenides
2D TMDs such as MoS2 are a hot topic where ALD or similar methodologies are expected to enable film growth in a very controlled fashion on large areas.201 2D TMDs are unique in that they consist of planes of crystalline material where the individual planes are only bonded through Van-der-Waals forces to each other as illustrated in Fig. 13(a). The digital nature of their thickness, i.e., a countable number of 2D planes and the possibility of having one or a few layers, and especially the unique properties these exhibit, have led to a wide interest in these materials. For CVD of 2D materials, relatively high temperatures (600–1000 °C) are generally used to obtain a large grain size, for instance, for MoS2.202 The interest in ALD lies in its possible surface control and the reactivity of the plasma chemistry, which might open avenues to the growth of high-quality material at lower temperatures.
(a) Principle of CVD of 2D transition metal dichalcogenides where high temperatures are needed to get good grain quality and large grains. CVD has a challenge in controlling the number of layers and the uniformity which is one of the reasons ALD is of interest. Two strategies for ALD of 2D TMDs are illustrated in (b) and (c). (b) Growth at low temperature of a seed oxide layer with subsequent sulfurization. Although high temperature is used, the ALD characteristics of thickness control and uniformity are maintained. (c) Direct growth by ALD using H2S plasma. In principle, much lower temperatures should be possible although obtaining a large grain size and achieving high material quality is still a challenge.
(a) Principle of CVD of 2D transition metal dichalcogenides where high temperatures are needed to get good grain quality and large grains. CVD has a challenge in controlling the number of layers and the uniformity which is one of the reasons ALD is of interest. Two strategies for ALD of 2D TMDs are illustrated in (b) and (c). (b) Growth at low temperature of a seed oxide layer with subsequent sulfurization. Although high temperature is used, the ALD characteristics of thickness control and uniformity are maintained. (c) Direct growth by ALD using H2S plasma. In principle, much lower temperatures should be possible although obtaining a large grain size and achieving high material quality is still a challenge.
So far, two general strategies for using ALD to obtain 2D TMDs can be distinguished. The first strategy uses metal oxide (e.g., MoO3) deposited at standard ALD processing temperatures as a source seed material which is then sulfurized (e.g., converted into MoS2) at high temperature as shown in Fig. 13(b). Depositing the source material by ALD provides the ALD advantages of thickness control and wafer scale uniformity. Furthermore, it might be an advantage to deposit the source film by plasma ALD since it has been reported that source film purity and crystallinity could be important for the resulting MoS2 quality.203
A second strategy is direct growth of 2D materials by ALD as indicated in Fig. 13(c). Currently, the challenge is to obtain a large grain size at lower temperatures than that used in CVD (<600 °C) and furthermore damage by plasma could be a concern.204 The first 2D sulfides have recently been deposited by plasma ALD, namely, MoS2 and WS2.61,69,70,204 Using an H2S plasma compared to H2S gas might bring similar benefits as those brought about by O2 plasma for ALD of oxides compared to thermal ALD using H2O vapor, for instance, deposition at lower temperature while obtaining higher material quality. The first clear indications of the benefits of H2S containing plasmas for 2D TMDs have been shown by Sharma et al.204 Here, [(NtBu)2(NMe2)2Mo] and H2 + H2S + Ar plasma mixture in an ALD cycle were found to exhibit precise thickness control and tunable texture of the as-deposited MoS2 films over the moderate temperature range of 300–450 °C, while preserving the essence of ALD in terms of self-limiting behavior.204
C. Molecular layer deposition
Molecular layer deposition (MLD) is essentially ALD in many respects, but with the peculiarity that the precursors and reactants used include organic species with the intention to add an organic component to the deposited film. Here, MLD is defined to include both purely organic and mixed inorganic-organic films, e.g., alucone grown using AlMe3 and ethylene glycol. MLD expands the capabilities of ALD to a much wider range of possible materials and structures.205 For instance, MLD materials can be more flexible and contain molecular structure, e.g., such as polymers have.
Combining plasma ALD and MLD is still in its infancy, but in principle could have a range of benefits. A basic way of using MLD with plasma ALD is by the alternation of MLD layers with plasma ALD layers. For instance, alternating plasma ALD of ZrO2 with MLD of zircone at 80 °C has been shown to improve moisture diffusion barrier performance compared to bulk plasma ALD ZrO2 films.206 Plasma, by exposure of the surface to UV photons or ions, might be able to promote cross-linking in MLD films and functionalize or stabilize the material. High temperature steps have been used in the literature to pyrolize titanicone MLD films and make them more conductive.207 Plasma might allow for a faster route to achieve similar effects or achieve such effects at lower temperature. Since organic films are generally temperature sensitive, the ability of plasma to process materials at low temperatures could be a benefit, although damage from the plasma itself should be controlled. As shown in Sec. III C, by making the plasma more remote, etching of polymer material can be avoided.
D. Plasma ALD and etching
Deposition and etching go hand-in-hand for patterning as described in Sec. II A. In self-aligned patterning, conformal deposition of spacer films by plasma ALD allows for fabrication of structures with smaller dimensions than possible by single-step lithography. The requirements on plasma ALD and etching are extremely stringent and any influence of one on the other has to be taken into account. Therefore, plasma ALD and etching are closer together than ever. Furthermore, there are interesting parallels between plasma ALD and the self-limiting layer-by-layer removal of material, i.e., atomic layer etching (ALE).208–211 Most reports on ALE processes discuss plasma-based processes, considering plasma radicals and ions impinging on surfaces and since these processes are also at the center of plasma ALD, knowledge from the plasma ALE field can be relevant to plasma ALD and vice versa. Similarly, the more recent investigations into isotropic thermal ALE can benefit from knowledge from the ALD field,212 and it can be said that the fields are converging to an extent. Note that generally the focus in plasma etching is on anisotropic plasma species, i.e., the ions. However, radicals in the plasma (e.g., fluorine atoms) are isotropic and can be used for isotropic etching as well.213 Interestingly, these same species can also be used for isotropic deposition by plasma ALD as demonstrated for AlF3 ALD.34 This section discusses possible benefits of combining plasma ALD and etching within a single process recipe as shown in Fig. 14(a) and go beyond the classical combinations of etching and deposition in separate reactor chambers.
Combinations of plasma ALD and etching. (a) Process scheme for combining ALD and etching in a single recipe, where ALD (AB) cycles are alternated with an etch step (C), the etching step could also be an atomic layer etching step. (b) Deep etching where often the limitation is the conformal deposition of the passivation layer. Plasma ALD can be more conformal than the fluorocarbon deposition normally used. On the horizontal surface, the ion-assisted etching process would remove the ALD layer and allow etching in regions where no mask was applied.
Combinations of plasma ALD and etching. (a) Process scheme for combining ALD and etching in a single recipe, where ALD (AB) cycles are alternated with an etch step (C), the etching step could also be an atomic layer etching step. (b) Deep etching where often the limitation is the conformal deposition of the passivation layer. Plasma ALD can be more conformal than the fluorocarbon deposition normally used. On the horizontal surface, the ion-assisted etching process would remove the ALD layer and allow etching in regions where no mask was applied.
One example where plasma ALD can be used for more controlled classical etching is the concept of combining plasma ALD of SiO2 with fluorine plasma etching as indicated in Fig. 14(b).214 In deep reactive-ion etching via the Bosch process, a passivating sidewall deposition is used to avoid sidewall etching and make the etching anisotropic. However, the sidewall passivation step is basically a plasma CVD fluorocarbon deposition step which has limited conformality for very deep structures. Applying the passivation by plasma ALD (e.g., depositing SiO2)214 could provide better conformality and therefore better controlled etching.
A wide variety of schemes where both deposition and etching are combined can be imagined and these could be used to improve process control and even widen the range of possible structures and materials that can be fabricated. Such processing schemes could be used to tune selective growth, selective etching, growth nucleation, and also the material properties themselves. A large challenge will lie in ensuring that the combination of deposition and etch chemistries in the processing schemes is compatible and does not cause issues with chamber history effects, i.e., preceding processes employed in the chamber affecting the ongoing process in an undesired manner. Note that, in principle, the plasma step in the plasma ALD process could be viewed partly as an etch step in that its purpose is to remove ligands, e.g., to etch carbon containing species remaining after metal-organic precursor adsorption. The way how substrate biasing can improve the material properties of plasma ALD processes could be viewed, in some cases, as enhanced etching of carbon and oxygen impurities from the surface due to the higher ion energies used.104 Comparing mechanistic studies from both plasma ALD and etching could help improve understanding of both fields by allowing insights from both fields to be combined.
E. Scale up to large areas
Many proof-of-concept demonstrations have been done for new applications to demonstrate how plasma ALD can benefit many devices. However, to be used in production, the cost of ownership and cost of production have to be acceptable. For many applications, the standard throughput of plasma ALD is insufficient. A further scale up of plasma ALD to larger areas and providing an overall higher throughput would increase the number of applications where plasma ALD can break through in high-volume manufacturing. Limited throughput of ALD in general can often be linked to the difficulty of purging away excess precursor and reactants. Faster pumping and optimized chamber and plasma source design can improve throughput which has allowed for its implementation in self-aligned patterning processes for high-volume manufacturing (see Sec. II A). To achieve the throughputs needed for self-aligned patterning, so far mostly CCP ALD systems have been used [i.e., ASM's Eagle XP8 system,119 Lam Research's Vector(R) product family120]. To get the desired throughputs, the systems are relatively compact and modular.
A method to speed up ALD further is by carrying out the process not in a temporal fashion, i.e., by dosing the precursors and reactants consecutively in a single chamber, but by having separate reaction regions and moving the sample between them, i.e., spatial ALD.215 This can be done for wafers in a low pressure rotary spatial ALD reactor (concept shown in Fig. 6) such as the TEL NT333, where multiple 300-mm wafers can be in the chamber at the same time to maximize throughput.137 The most popular method of spatial thermal ALD employs a relatively high pressure to have efficient separation of the regions. Ideally, to separate the regions, such high pressures are also used for plasma spatial ALD. However, high pressure plasmas are often filamentary which would lead to patterns in the deposition which is generally undesired.216 Fortunately, these filaments can be avoided by additional plasma control and even a relatively difficult material for ALD such as Ag can be deposited.126 The combination of high pressure processing and high reactivity of plasmas is expected to be ideal for low temperature deposition of materials on polymer substrates in a roll-to-roll fashion. Spatial thermal ALD tools for such processing as indicated in Fig. 15 should be extendable to accomplish spatial plasma ALD for this purpose.218
Scale up for thermal ALD to very large area has been done, for instance, by thermal spatial ALD concepts for roll-to-roll coating such as Meyer Burger's FLEx R2R sALD tool. Such concepts should be extendable to plasma ALD, for instance, by including an atmospheric SDBD plasma as shown in Fig. 6. Picture courtesy of Meyer Burger (Ref. 217).
Scale up for thermal ALD to very large area has been done, for instance, by thermal spatial ALD concepts for roll-to-roll coating such as Meyer Burger's FLEx R2R sALD tool. Such concepts should be extendable to plasma ALD, for instance, by including an atmospheric SDBD plasma as shown in Fig. 6. Picture courtesy of Meyer Burger (Ref. 217).
Applications that might benefit strongly from high-throughput large-area plasma ALD processes are, for example, organic light emitting displays, batteries, and solar cells. The relative uncertainty about which plasma species are essential for fast ALD growth (e.g., what fluxes of which radicals and ions are desired and at what energies) is a challenge for the scale up since it gives uncertainty to the most optimal source and reactor design. Furthermore, for many applications, the plasma ALD implementation should be such that low damage and high material quality are obtained (e.g., avoiding oxygen incorporation is a challenge at atmospheric pressure). If the fundamental research questions would be answered, a more directed design process could be undertaken for the plasma source and reactor. Especially for atmospheric plasma ALD, getting insight into the plasma composition and fluxes of species to the surface is a challenge. Atmospheric plasma reactors typically have small reactor dimensions (i.e., those using dielectric barrier discharges), which makes it difficult to measure species by in situ diagnostics to investigate the processes.
V. SUMMARY AND CONCLUSIONS
In the past few years, plasma ALD has obtained a prominent position in the field of ALD with (i) a strong application base as demonstrated by the breakthrough in high-volume manufacturing; (ii) a large number of processes with many processes showing unique material properties when using plasma ALD; and (iii) a wide range of reactor designs, showing the interest in further optimization and the abundance of options in methods of using plasma species for ALD for various applications. Many new insights have been obtained, for instance, the effect of energetic ions, methods to minimize plasma damage and the interaction of the plasma with ALD reaction species and their redeposition. Nonetheless, many open questions remain which when answered could lead to routes for further usage and improvement of plasma ALD in the coming years. Overall, plasma ALD is definitely part of the toolbox for atomic-scale processing and will be used in the future in combination with other atomic-scale techniques such as thermal ALD, ALE, MLD, and controlled growth of 2D materials. In conclusion, ALD, as a technique, is not an end point in development but a gateway to a wide range of nanoprocessing approaches.
ACKNOWLEDGMENTS
The research of some of the authors (W. M. M. Kessels and K. Arts) has been made possible by the research programme HTSM with Project No. 15352, which is (partly) financed by the Netherlands Organisation for Scientific Research (NWO). I. J. Raaijmakers and ASM International are acknowledged for providing figures and information. D. Borsa and Meyer Burger are acknowledged for the provided figure. B. Macco is acknowledged for diligent proofreading of this paper.
SUPPLEMENTARY MATERIAL
Please see the supplementary material in Ref. 270 for high resolution figures.
APPENDIX A: COMPOUNDS FOR WHICH ALD PROCESSES HAVE BEEN REPORTED
See Table III for a list of compounds for which ALD processes have been reported sorted by atomic number of the target element. This Table was used to populate the periodic table images shown in Fig. 1.
Compounds for which ALD processes have been reported sorted by atomic number. Oxides, nitrides, pure elements, sulfides, fluorides, and phosphates are listed and for each compound it is indicated whether thermal ALD, plasma ALD, or both have been reported. Radioactive elements and those forming nonsolid compounds have been excluded. Online databases and review papers were used to find reports on the processes (Refs. 11, 219, and 220). Note that the list of references is nonexhaustive and that also the references therein were used. Up to date as of February 1, 2019.
Atomic nr. . | Name . | Oxide . | Nitride . | Pure element . | Sulfide . | Fluoride . | Phosphate . | References . |
---|---|---|---|---|---|---|---|---|
3 | Lithium | Both | Thermal | — | Thermal | Thermal | Thermal | 11, 51, 52, 221–224 |
4 | Beryllium | Thermal | — | — | — | — | — | 225 |
5 | Boron | Both | Both | — | — | — | Thermal | 11, 37, 226, 227 |
6 | Carbon | — | — | Plasma | — | — | — | 11, 228 |
11 | Sodium | Thermal | — | — | — | — | — | 229 |
12 | Magnesium | Both | — | — | — | Thermal | — | 11, 54, 230 |
13 | Aluminum | Both | Both | Both | Plasma | Both | Both | 11, 34–36, 231–233 |
14 | Silicon | Both | Both | Both | — | — | — | 11 |
19 | Potassium | Thermal | — | — | — | — | — | 229 |
20 | Calcium | Thermal | — | — | Thermal | Thermal | Thermal | 11, 221, 234, 235 |
21 | Scandium | Thermal | — | — | — | — | — | 11 |
22 | Titanium | Both | Both | Both | Thermal | — | Both | 11, 64, 221, 232, 236 |
23 | Vanadium | Both | Both | — | — | — | Plasma | 11, 66, 67, 237 |
24 | Chromium | Thermal | — | — | — | — | — | 11 |
25 | Manganese | Both | — | — | Thermal | Thermal | — | 11, 56, 238, 239 |
26 | Iron | Both | Thermal | Thermal | Thermal | — | Both | 11, 44, 45, 240–242 |
27 | Cobalt | Both | Plasma | Both | Thermal | — | Plasma | 11, 13, 41, 42, 243 |
28 | Nickel | Both | — | Both | Thermal | — | — | 11, 244, 245 |
29 | Copper | Both | Both | Both | Thermal | — | — | 11, 221, 246, 247 |
30 | Zinc | Both | Thermal | — | Both | Thermal | Plasma | 11, 71, 72, 221, 238, 248 |
31 | Gallium | Both | Both | — | Both | — | — | 11, 46, 221 |
32 | Germanium | Thermal | — | Both | Thermal | — | — | 11, 221 |
33 | Arsenic | — | — | — | Thermal | — | — | 249 |
37 | Rubidium | Thermal | — | — | — | — | — | 250 |
38 | Strontium | Both | — | — | Thermal | Thermal | — | 11, 221, 251 |
39 | Yttrium | Both | — | — | — | Thermal | — | 11, 251 |
40 | Zirconium | Both | Both | — | — | Thermal | — | 11, 238 |
41 | Niobium | Both | Both | — | — | — | — | 11, 252 |
42 | Molybdenum | Both | Both | Thermal | Both | — | — | 11, 57–60, 204, 221, 253 |
44 | Ruthenium | Both | — | Both | — | — | — | 11, 254 |
45 | Rhodium | Thermal | — | Thermal | — | — | — | 11 |
46 | Palladium | Thermal | — | Both | — | — | — | 11, 255 |
47 | Silver | Thermal | — | Both | — | — | — | 11, 256 |
48 | Cadmium | Thermal | — | — | Thermal | — | — | 221, 257 |
49 | Indium | Both | Both | — | Thermal | — | — | 11, 49, 50, 221 |
50 | Tin | Both | Plasma | — | Thermal | — | — | 11, 62, 221 |
51 | Antimony | Thermal | — | Thermal | Thermal | — | — | 11, 221 |
56 | Barium | Both | — | — | Thermal | — | — | 11, 38, 221 |
57 | Lanthanum | Both | — | — | Thermal | Thermal | Thermal | 11, 235, 258, 259 |
58 | Cerium | Both | — | — | — | — | — | 11, 39, 40 |
59 | Praseodymium | Thermal | — | — | — | — | — | 11 |
60 | Neodymium | Thermal | — | — | — | — | — | 11 |
62 | Samarium | Thermal | — | — | — | — | — | 11 |
63 | Europium | Thermal | — | — | — | — | — | 11 |
64 | Gadolinium | Both | Plasma | — | — | — | — | 11, 47, 48 |
65 | Terbium | Thermal | — | — | — | — | — | 260 |
66 | Dysprosium | Both | — | — | — | — | — | 11, 43 |
67 | Holmium | Thermal | — | — | — | — | — | 11 |
68 | Erbium | Both | — | — | — | — | — | 11 |
69 | Thulium | Thermal | — | — | — | — | — | 11 |
70 | Ytterbium | Thermal | — | — | — | — | — | 11 |
71 | Lutetium | Thermal | — | — | — | — | — | 11 |
72 | Hafnium | Both | Both | — | — | Thermal | — | 11, 238 |
73 | Tantalum | Both | Both | Plasma | — | — | — | 11 |
74 | Tungsten | Both | Both | Both | Both | — | — | 11, 69, 221, 261, 262 |
75 | Rhenium | Thermal | Thermal | Thermal | Thermal | — | — | 263–265 |
76 | Osmium | — | — | Thermal | — | — | — | 266 |
77 | Iridium | Both | — | Both | — | — | — | 11 |
78 | Platinum | Both | — | Both | — | — | — | 11 |
79 | Gold | — | — | Both | — | — | — | 33, 115 |
82 | Lead | Thermal | — | — | Thermal | — | — | 11, 221 |
83 | Bismuth | Both | — | — | Thermal | — | — | 11, 267, 268 |
Atomic nr. . | Name . | Oxide . | Nitride . | Pure element . | Sulfide . | Fluoride . | Phosphate . | References . |
---|---|---|---|---|---|---|---|---|
3 | Lithium | Both | Thermal | — | Thermal | Thermal | Thermal | 11, 51, 52, 221–224 |
4 | Beryllium | Thermal | — | — | — | — | — | 225 |
5 | Boron | Both | Both | — | — | — | Thermal | 11, 37, 226, 227 |
6 | Carbon | — | — | Plasma | — | — | — | 11, 228 |
11 | Sodium | Thermal | — | — | — | — | — | 229 |
12 | Magnesium | Both | — | — | — | Thermal | — | 11, 54, 230 |
13 | Aluminum | Both | Both | Both | Plasma | Both | Both | 11, 34–36, 231–233 |
14 | Silicon | Both | Both | Both | — | — | — | 11 |
19 | Potassium | Thermal | — | — | — | — | — | 229 |
20 | Calcium | Thermal | — | — | Thermal | Thermal | Thermal | 11, 221, 234, 235 |
21 | Scandium | Thermal | — | — | — | — | — | 11 |
22 | Titanium | Both | Both | Both | Thermal | — | Both | 11, 64, 221, 232, 236 |
23 | Vanadium | Both | Both | — | — | — | Plasma | 11, 66, 67, 237 |
24 | Chromium | Thermal | — | — | — | — | — | 11 |
25 | Manganese | Both | — | — | Thermal | Thermal | — | 11, 56, 238, 239 |
26 | Iron | Both | Thermal | Thermal | Thermal | — | Both | 11, 44, 45, 240–242 |
27 | Cobalt | Both | Plasma | Both | Thermal | — | Plasma | 11, 13, 41, 42, 243 |
28 | Nickel | Both | — | Both | Thermal | — | — | 11, 244, 245 |
29 | Copper | Both | Both | Both | Thermal | — | — | 11, 221, 246, 247 |
30 | Zinc | Both | Thermal | — | Both | Thermal | Plasma | 11, 71, 72, 221, 238, 248 |
31 | Gallium | Both | Both | — | Both | — | — | 11, 46, 221 |
32 | Germanium | Thermal | — | Both | Thermal | — | — | 11, 221 |
33 | Arsenic | — | — | — | Thermal | — | — | 249 |
37 | Rubidium | Thermal | — | — | — | — | — | 250 |
38 | Strontium | Both | — | — | Thermal | Thermal | — | 11, 221, 251 |
39 | Yttrium | Both | — | — | — | Thermal | — | 11, 251 |
40 | Zirconium | Both | Both | — | — | Thermal | — | 11, 238 |
41 | Niobium | Both | Both | — | — | — | — | 11, 252 |
42 | Molybdenum | Both | Both | Thermal | Both | — | — | 11, 57–60, 204, 221, 253 |
44 | Ruthenium | Both | — | Both | — | — | — | 11, 254 |
45 | Rhodium | Thermal | — | Thermal | — | — | — | 11 |
46 | Palladium | Thermal | — | Both | — | — | — | 11, 255 |
47 | Silver | Thermal | — | Both | — | — | — | 11, 256 |
48 | Cadmium | Thermal | — | — | Thermal | — | — | 221, 257 |
49 | Indium | Both | Both | — | Thermal | — | — | 11, 49, 50, 221 |
50 | Tin | Both | Plasma | — | Thermal | — | — | 11, 62, 221 |
51 | Antimony | Thermal | — | Thermal | Thermal | — | — | 11, 221 |
56 | Barium | Both | — | — | Thermal | — | — | 11, 38, 221 |
57 | Lanthanum | Both | — | — | Thermal | Thermal | Thermal | 11, 235, 258, 259 |
58 | Cerium | Both | — | — | — | — | — | 11, 39, 40 |
59 | Praseodymium | Thermal | — | — | — | — | — | 11 |
60 | Neodymium | Thermal | — | — | — | — | — | 11 |
62 | Samarium | Thermal | — | — | — | — | — | 11 |
63 | Europium | Thermal | — | — | — | — | — | 11 |
64 | Gadolinium | Both | Plasma | — | — | — | — | 11, 47, 48 |
65 | Terbium | Thermal | — | — | — | — | — | 260 |
66 | Dysprosium | Both | — | — | — | — | — | 11, 43 |
67 | Holmium | Thermal | — | — | — | — | — | 11 |
68 | Erbium | Both | — | — | — | — | — | 11 |
69 | Thulium | Thermal | — | — | — | — | — | 11 |
70 | Ytterbium | Thermal | — | — | — | — | — | 11 |
71 | Lutetium | Thermal | — | — | — | — | — | 11 |
72 | Hafnium | Both | Both | — | — | Thermal | — | 11, 238 |
73 | Tantalum | Both | Both | Plasma | — | — | — | 11 |
74 | Tungsten | Both | Both | Both | Both | — | — | 11, 69, 221, 261, 262 |
75 | Rhenium | Thermal | Thermal | Thermal | Thermal | — | — | 263–265 |
76 | Osmium | — | — | Thermal | — | — | — | 266 |
77 | Iridium | Both | — | Both | — | — | — | 11 |
78 | Platinum | Both | — | Both | — | — | — | 11 |
79 | Gold | — | — | Both | — | — | — | 33, 115 |
82 | Lead | Thermal | — | — | Thermal | — | — | 11, 221 |
83 | Bismuth | Both | — | — | Thermal | — | — | 11, 267, 268 |
APPENDIX B: ESTIMATION OF POSSIBLE OXYGEN CONTENT FROM BACKGROUND
In this section, the O flux to the surface is estimated from standard base pressures and impurity levels in carrier and source gases. Secondly, this estimation is used to illustrate how this flux is sufficient to explain cases with a high oxygen content in ALD films even when oxygen was not intentionally introduced. Note that the ALD cycle time and growth per cycle can influence O content, e.g., a process that is twice as slow could give twice the O content for the same background O level. The reactivity of the growth surface to O2 and H2O will also have a large effect on the O content, e.g., when depositing Ti metal the surface much more easily oxidizes under the same O2 and H2O flux compared to when the material is a less reactive metal or metal nitride such as TiN. Furthermore, plasma activation can make gases more reactive (e.g., O versus O2) which would increase the chance of O being incorporated in the growing film. Below two example cases are discussed to highlight the effect background impurities can have even when present at low levels. In both cases, a reactive surface is assumed on which incoming oxygen species easily react to illustrate what is the maximum oxygen content achievable based on the available oxygen from the background.
First, we consider the case where oxygen is originating from H2O in the background of a vacuum system. If we take a turbo-pumped system with a base pressure of 10−6 Torr and assume 1% of this background consists of H2O vapor, we come to a 10−8 Torr partial pressure of H2O in the ALD chamber. A basic indication of the resulting H2O flux compared to deposition can be obtained by using the Langmuir unit (L = 10−6 Torr s), where one L is roughly a monolayer of flux. With the assumption of a 10 s cycle time and each H2O providing one oxygen, this gives 0.1 L, so for each ALD cycle about a 10% of a monolayer of oxygen is received by the surface. A more precise estimate can be made using a combination of the ideal gas equation and the Maxwell-Boltzmann gas velocity distribution, which gives the Hertz-Knudsen formula for the incident flux [F = P(2πmkT)−1/2]. Multiplying by the ALD cycle time gives the O atoms cycle−1 nm−2 (assuming again that each H2O delivers one O atom), which for an assumed temperature of 150 °C gives 0.4 O atoms cycle−1 nm−2. As a rough estimate for the number of deposited metal atoms per cycle, we can take the value of metal atoms deposited per thermal Al2O3 cycle at 200 °C which is 3.5 metal atoms cycle−1 nm−2.269 Comparing O atoms with metal atoms, we see that 10% of the total atoms deposited per cycle would be oxygen. So to conclude ∼10−8 Torr of H2O background pressure would be enough to give an ∼10% O content in the film.
Secondly, we consider the case where not the vacuum background is the main source but process and purge gases such as Ar and N2 are the main source. If we only look at the H2O in the process gases, then already a very small fraction of H2O, namely, 0.0001% or 1 ppm or N6.0 grade purity in the source gases at a resulting pressure of 10 mTorr of process gas (which is in general a low pressure for ALD) would give a partial H2O pressure of 10−8 Torr, which then again can be concluded with the same reasoning as for background pressure impurities in the previous paragraph to be enough to give a 10% O content in the film.
Besides the conclusion that O is available in sufficient numbers for high O impurity levels, these numbers also suggest that it might be possible to estimate the ease of oxidation from the level of O incorporation during deposition. Cases where nitrides are deposited with high purity even under high background O levels would suggest that the surface during these processes is relatively unreactive to the O containing species present.