Atomic layer etching (ALE) has emerged as a promising technique for the precise and controlled removal of materials in nanoscale devices. ALE processes have gained significant attention due to their ability to achieve high material selectivity, etch uniformity, and atomic-scale resolution. This article provides a perspective of the important role of plasma in ALE including thermal ALE for nanometer-scale device manufacturing. Advantages as well as challenges of ALE are discussed in contrast to classic reactive ion etching. A tally-up of known plasma-based ALE processes is listed, and novel thermal ALE processes are described that are based on the so-called ligand addition mechanism. We explain the potential of using plasma for increasing wafer throughput in a manufacturing environment, its use when it comes to anisotropy tuning, the benefits in enabling a wider range of pre-cursors in thermal ALE, and the advantages it may bring for thermal ALE of crystalline materials. The benefits and challenges of different plasma sources in ALE are discussed, and an outlook for future development is provided. Finally, applications of plasma for productivity reasons such as particle avoidance and process stability are outlined.
I. INTRODUCTION
Shrinking chip feature sizes and the emergence of new device architectures have traditionally required the development of new wafer processing regimes that were enabled through the deployment of new processing equipment.
Since the beginning of the reactive ion etch (RIE) era in device fabrication some sixty years ago, tremendous effort has been put into decoupling various process parameters. For example, ion energy and plasma density needed to be controlled nearly orthogonally for certain etch applications. This was done by designing etch chambers with two independent radio frequency (RF) sources, for instance, an inductive source at the chamber top for plasma density control and a capacitive “source” tied to the substrate that can control the ion energy to the wafer. These additional “control knobs” typically result in very expensive wafer etch chambers with high maintenance costs. They also demand an advanced skill level from an operator for its mastery.
Despite the successful “decoupling” of certain processing parameters in classical continuous plasma etching, many limitations of the etch process continue to exist. Some of them stem from the confines of transport-related phenomena with respect to the delivery of reactants to the wafer surface as well as the removal of by-products. These restrictions extend to all length scales of wafer processing, from wafer size (center-to-edge) to the nanoscale of the actual devices. They can even impact performance matching within a tool fleet in a wafer fab. Other constrains stem from the strong correlation of certain etch results with temperature. For example, depending on the process chemistry, wafer center-to-edge control of critical feature dimensions to less than 1 nm necessitated the development of temperature control means across this area of less than 1 K.
The emergence of three-dimensional device structures in recent years is currently spawning the development of new deposition and etch techniques that allow for a precise addition and removal of materials on an atomic scale. One of these emerging techniques is atomic layer etching (ALE) which is particularly useful for fabricating complex 3D nanostructures in high-performance microelectronic devices. This new technology has two principal implementations: a class where the entire processes is based on thermal reactions and a second class that is making use of plasma, typically a high-frequency plasma, in at least part of the process. The former has been coined thermal or isotropic ALE, whereas the latter is referred to as plasma-based or directional ALE.
The two ALE embodiments show a number of similarities but exhibit some important differences. Directional ALE uses a flux of accelerated ions or radicals to etch in a specific direction, while thermal isotropic ALE uses a chemical reaction between the device surface and a gas to etch uniformly in all directions. In addition, plasma-based ALE typically removes more material per cycle than thermal isotropic ALE, but the former is less precise and can cause more unintentional damage in the surrounding areas.
II. DEFINITION OF ATOMIC LAYER ETCHING
Atomic layer etching has been defined as a wafer processing technique in which alternating process steps, at least one of them being self-limited, allow for the processing of wafer substrates with atomic scale precision. In many instances, atomic layer etching consists of a modification step, in which the top layer of a substrate is altered by a chemical in a way that bonds to its next-nearest neighbor beneath it are either weakened or broken. In a subsequent removal step, accelerated ions and/or chemical species react with the previously modified surface layer resulting in the formation of volatile by-products that can be removed.1
The first report on ALE was published in Yoder's US patent 4756794 entitled “Atomic Layer Etching” in 1988. It describes the etching of crystalline diamond using nitrogen dioxide and a plasma comprised of hydrogen and a noble gas.2 Relationships and comparisons between both atomic layer deposition and etching (ALD and ALE) have been reported in 2015 by Faraz et al.3
In contrast to classical reactive ion etching in which concurrent fluxes of many different reactants and by-products to the substrate surface may make the control of the entire etch chemistry a challenge, the ability to divide the etch process into separate self-limited steps with relatively low chemical complexity is one of the great advantages of ALE. As a result, the etch process can be tailored for a specific layer without touching other materials on the wafer. The result is superior etch selectivity. The self-limiting character of at least one ALE step leads to a sizable simplification in uniformity control across a wafer and provides relative independence of the etch performance from aspect ratio constraints and feature size loading.
The first industrial implementation of ALE used ions in the second, so-called removal step. This type of ALE is called directional ALE because ions are accelerated toward the wafer surface, which results in a preferred direction of the etch front propagation. The approach lends itself for the formation of conventional devices with primarily horizontally oriented films. For example, silicon can be removed layer by layer with a beam of neutral chlorine that modifies the top of the silicon surface during a first process step. This step is isotropic. It is followed by a low-energy argon ion plasma which then removes the modified layer creating a clean silicon surface.4–6 The second step is anisotropic as the argon ions are driven in normal direction to the substrate by the accelerating voltage of a plasma sheath. A schematic representation of the process can be seen in Fig. 1.
Generic ALE process (a) and classic ion assisted ALE process for etching of silicon (b). Reprinted with permission from Kanarik et al., J. Vac. Sci. Technol., A 33, 020802 (2015). Copyright 2015 Author(s), licensed under a Creative Commons License.1
Generic ALE process (a) and classic ion assisted ALE process for etching of silicon (b). Reprinted with permission from Kanarik et al., J. Vac. Sci. Technol., A 33, 020802 (2015). Copyright 2015 Author(s), licensed under a Creative Commons License.1
The evolution of chip manufacturing to nanometer-scale feature sizes, advanced three-dimensional device architectures, and the introduction of new materials necessitate the development of new processing techniques. Should these techniques be viable for industrial application in device manufacturing they must offer a variety of benefits that would be difficult to achieve by conventional processing methods such as reactive ion etching. Outlined below are a number of advantages atomic layer etching is associated with. They are followed by a list of challenges ALE is facing should it be adopted for high-volume device manufacturing.
III. ADVANTAGES OF ALE OVER CLASSICAL RIE
-
Thermal as well as ion-enhanced ALE greatly increase the number of etchable materials compared to RIE. In principle, this is caused by a reduction of the by-product desorption temperature in the removal step via reaction with a second chemical. The reaction by-products can be volatilized at temperatures still low enough for incoming reactants in the modification step to chemisorb to the surface. For example, materials such as Al2O3 can be etched via ligand-exchange based thermal ALE, a feat impossible to perform in RIE processes due to the elevated melting point of its reaction end product AlF3 of 1291 °C. A summary of a set of etchable materials is given further below.
-
Thermal ALE processes are isotropic etches. The absence of directionality enables the etch front to advance laterally in device structures. The need for it becomes particularly clear when considering modern 3D device architectures such as 3D NAND or future 3D DRAM. Etch fronts need to be able to reach into hard-to-get areas hidden outside line of sight or recess materials on side walls uniformly.7 Plasma-based ALE processes can be near-isotropic if the energy of the impinging ions is kept to a minimum (low sheath voltage) or if a remote plasma source is utilized.
-
Transport-related phenomena such as across-wafer uniformity and aspect ratio dependent etching rates can be mitigated and the etch chemistry can be simplified in atomic layer etching due to its two-step character and by allowing at least one of the ALE steps to be operated in saturation mode.
In ALE, etch chemistries characteristically consist of a single reactant per process step that is not further dissociated into a “soup” of ions and radicals as is the case in RIE.8 Furthermore, the interaction of this single reactant with the etching film is limited to a monolayer. Even if parts of the substrate (center/edge of the wafer or top/bottom in a device) complete the etching of a monolayer first, no additional removal of material occurs until the next ALE cycle. This self-limitation assures high etch uniformity thereby eliminating the need for expensive decoupling or “uniformity control” knobs in etch reactor designs.
-
Thermal ALE is a process based on thermal energy entirely. Plasma-enhanced ALE processes utilize ion energies at the substrate of only a few tens of electronvolts—energies below the sputter threshold of the material to be etched. This low-energy environment reduces the risk for unwanted damage to other parts of the device structure, especially to an underlayer, allowing for the etch front to be controlled at the atomic scale thereby achieving the highest control in removal.
-
Another critical parameter for any etch process is the etch rate selectivity to other materials such as the mask or other exposed materials in the device itself. Due to the high reactivity of ions and radicals from an RIE plasma, achieving high selectivities between materials requires careful chemistry selection and process tuning for reaching best results.
In ALE, etch selectivities can be very high between materials due to the use of “targeted” chemistry and due to the absence of energetic ions and radicals. An example of etch selectivity between a variety of materials is shown in Fig. 2. In this thermal ALE process, high-k oxides were exposed to 1000 ALE cycles and removed. The amount of material removed from other materials in the test structure which were exposed equally to the chemistry was immeasurably small.
The etch selectivities in Fig. 2 between the two high-k materials and SiN/SiO2 as well as TiN are at least 1000:1 due to the immeasurably small etch rate of the latter three. It should be noted that the good etch resistance of TiN can be compromised and etch selectivity to that material be reduced by the presence of small amounts of oxygen stemming from leaks in the chamber hardware from the outside. As was pointed out by Chen and Lu, Jung et al., and Tompkins,10–12 TiN reacts thermally with oxygen at higher temperatures forming TiO2, titanium oxide. It is well established that TiO2 reacts spontaneously with hydrogen fluoride, the reactant used in a large number of ALE modification steps. In other words, the TiN etch resistance to these types of ALE precursors could be compromised should the reactor be designed with insufficient vacuum integrity.
-
A final advantageous aspect of ALE lies in its low electrical and UV light-based damage risk. This low risk particularly applies to the thermal type of ALE. In plasma-enhanced ALE, electrical charging and UV exposure may still occur but would be orders of magnitude lower than in some high-power, high-voltage RIE processes in which sheath voltages can reach hundreds of volts or more potentially leading to severe device damage or even wafer arcing with the destruction of some of the chamber hardware if plasma ignition, step transitions or the plasma extinction are not designed and controlled carefully.
Example of etch selectivity in thermal ALE at 250 °C. Materials are SiN (1), HfO2 (2), SiO2 (3), TiN (4), Al2O3 (5). No measurable removal in SiN, SiO2, and TiN was detected after 1000 ALE cycles.9
Example of etch selectivity in thermal ALE at 250 °C. Materials are SiN (1), HfO2 (2), SiO2 (3), TiN (4), Al2O3 (5). No measurable removal in SiN, SiO2, and TiN was detected after 1000 ALE cycles.9
IV. CHALLENGES OF ALE COMPARED TO RIE
-
The level of control on an atomic scale exerted by ALE bears a simultaneous downside that relates to the etching rates. Many modern devices today still have dimensions that are tens of nanometers in size. Etch processes with monolayer-by-monolayer removal even with ALE cycle times of 10 s would require several hours to complete, an outcome that is incompatible with wafer throughput requirements in most high-volume manufacturing settings. Thus, ALE processes are currently limited to etch depths below a few tens of nanometers.
Etch rates per cycle (EPCs) and thereby wafer throughput can be boosted by high dosing during each of the process steps and by increasing the substrate temperature.13 Additionally, the use of plasma increases the reactivity of a molecule thereby lowering the time necessary for an ALE step. Plasma may be applied to either ALE step if it is compatible with the chemistry and etch results on the wafer.
Fundamentally, throughput could be increased by designing ALE-specific process chambers with ultra small gas volumes that have high gas flows and pumping speeds to reduce the above-wafer gas residence time into the single millisecond range thereby bringing the per-step cycle time into the sub-second range.
-
Wafer throughput constraints generate a tradeoff with the self-limiting character of ALE reactions as sufficient time may not be available for a surface to reach chemical saturation. As a consequence, undesirable wafer center-to-edge etch uniformity or device top-to-bottom issues as well as feature size loading effects may start to appear.
-
Stemming from the thermal character of the chemistry of the etch steps, many ALE processes must be run at elevated temperatures, in some cases well above 200 °C. This high-temperature environment may be incompatible with some modern devices as it promotes the creation of atomic-level defects. High temperature also increases diffusion rates of atoms across material interfaces. Interfacial diffusion may lead to device performance deterioration or even failure if it is permitted to proceed too far. In addition, high temperatures may introduce parasitic chemical reactions producing unwanted etch outcomes.
-
The temperature dependence of the EPC introduces wafer level uniformity deltas should the substrate holder (Electro-static chuck or pedestal) exhibit a non-uniform temperature profile.14 If process pressures in the millitorr range are chosen in a pedestal tool, pedestal-to-wafer heat transfer challenges especially at the wafer edge may results in lowered EPCs in that area.
-
The selectivity between like materials may become low as their reactivity with etchants may be similar. For example, Abdulagatov et al.15 have shown that Si0.15Ge0.85 can be etched thermally with a selectivity of 10:1 with respect to Si and Si3N4 since the Ge contents in the utilized alloy were high, thus making the material dissimilar to the Si. It should be obvious to the reader that lower Ge concentration in the alloy will liken it more to the Si, thereby dropping the selectivity and it will reach one in the limit when no Ge is present.
-
Reaction by-products from the wafer surface or vapor-phase reaction products from both ALE steps or by-products from the ALE pre-cursors with leaked air may deposit on chamber walls and the rest of the hardware. Many of these materials are nonvolatile at the lower chamber wall temperature and will build up over time. This can lead to process drift or to the formation of particles, both undesirable results regarding productivity. In situ plasma clean, described in more detail in Sec. VII, or regular wet cleaning with an opened chamber may be the only solution to this challenge.
-
Last but not least, many of the pre-cursors used in ALE are very reactive. While this quality is desired, and even necessary to make the reactions that enable the etch process possible, it requires close attention when it comes to the handling, utilization at an etch tool or the storage of these chemicals, respectively. Almost all of them are toxic and flammable or even pyrophoric and must be handled with utmost care. Their novelty in the industry and the resulting scarcity makes them extremely expensive commodities which requires sizable funding in R&D style settings. These problems will vanish with greater acceptance of ALE and the volume use of these chemicals as the latter will drive down costs. Currently, however, costs are an issue to be considered.
V. DIRECTIONAL PLASMA-BASED ALE
In plasma-based ALE processes, at least one of the process steps will be performed with plasma-assisted activation. Agarwal et al. described plasma-based ALE in terms of the formation of a “passivation” layer that enables the underlying material of the substrate to be etched with lower activation energy compared to the case when no passivation took place. The ensuing subtraction of this passivated layer from the substrate can be administered by carefully controlling the energy of the impinging non-reactive ions. This can be achieved by setting the substrate plasma sheath voltage to a level below physical sputtering. If this is done correctly, the process is self-limiting and classifies as ALE.16
Plasma-based ALE processes can be differentiated into at least four categories depending on the character of their modification step:1 (a) chemisorption, (b) deposition, (c) conversion, and (d) extraction. An example of directional ALE during which the substrate surface is modified by chemisorption is classical Si ALE with chlorine chemisorption in the modification step followed by a gentle Ar-ion bombardment in the removal step.5 Metzler et al. proved the viability of a deposition-based modification step for a SiO2 ALE process. A C4F8-based fluoro-carbon layer is first deposited onto the substrate and a chemical reaction is instigated via Ar-ion bombardment. Said reaction will drive the removal of the top layer of the film.17 The etching of GaN or AlGaN via a two-plasma step process can be interpreted as a conversion reaction. Reported by Ohba et al., a chlorine plasma converts the nitride into a chloride first, releasing nitrogen. In a second step, a low-energy Ar plasma removes the modified top surface.18 Matsuura's et al. work on Si3N4 etching is an example of directional ALE using extraction during the modification step. In their work, a hydrogen plasma subtracted nitrogen from the film in a first step leaving behind a Si monolayer. In a second, low-energy H2/Ar plasma step, the remaining Si layer was removed.19 An illustration of all four plasma-based ALE processes is given in Fig. 3.
Comparison of the four plasma-based ALE processes by modification step. In the extraction case d), the original material is heterogeneous with one compound being removed (subtracted) during the modification step. The remaining constituent is volatilized during the removal step. Reprinted with permission from Kanarik et al., J. Vac. Sci. Technol., A 33, 020802 (2015). Copyright 2015 Author(s), licensed under a Creative Commons License.1
Comparison of the four plasma-based ALE processes by modification step. In the extraction case d), the original material is heterogeneous with one compound being removed (subtracted) during the modification step. The remaining constituent is volatilized during the removal step. Reprinted with permission from Kanarik et al., J. Vac. Sci. Technol., A 33, 020802 (2015). Copyright 2015 Author(s), licensed under a Creative Commons License.1
Application examples of all four types of plasma-based ALE are shown in Table I. It should be noted that the content of this table represents examples of published processes and is by no means a comprehensive list of all plasma-based ALE reactions. The table shows that a wide range of plasma sources and technologies were used.
Application examples of plasma-based ALE processes.
Etched material . | Plasma step 1 . | Plasma step 2 . | Thermal step . | Plasma source . | Lead, reference . |
---|---|---|---|---|---|
Al2O3 | Ar | BCl3 | ICP with neutralizer grid | Min et al.20 | |
CF4/H2 | Ar | ICP | Kuzmenko et al.21 | ||
SF6 | TMA | Not specified | Chittock et al.22 | ||
AlGaN | Ar | BCL3/Cl2 | Pulsed ICP, 50–150 V CCP bias to substrate | Ohba et al.18 | |
Cl2 | Ar | ICP (700 W)/0–100 V CCP bias to substrate | Fukumizu et al.23 | ||
AlN | CF4/H2 | Ar | ICP | Kuzmenko et al.21 | |
SF6 | TMA | Remote plasma | Wang et al.24 | ||
AlInAs | Ar | Cl2 | ECR: MW (Cl2), MW + RF (bias) (Ar) | Ko and Pang25 | |
BeO | Ar | BCL3 | ICP with neutralizer grid | Min26 | |
Cr | O2, Cl2 | Cl2, Ar | ICP with neutralizer grid | Park et al.27 | |
GaAs | Ar | Cl2 | Electron beam, ECR, dc bias to substrate, Ar ion beam | Meguro et al.,28 Aoyagi et al.,29 Ishii et al.30 | |
GaInAs | Ar | Cl2 | ECR: MW for Cl2, MW + RF (bias) for Ar step | Ko and Pang25 | |
GaN | Ar | BCL3/Cl2 | Pulsed ICP, 50–150 V CCP bias to substrate | Ohba et al.18 | |
Ge | Ar | Cl2 Cl2 | ECR, ECR | Sugiyama et al.,31 Matsuura et al.32 | |
HfO2 | CF4/H2 | Ar | ICP | Kuzmenko et al.21 | |
Ar | Ar/Cl2/BCL3 | ICP for Ar/Cl2/BCl3, CCP for Ar | Marneffe et al.33 | ||
InP | Ar | Cl2 | ECR: MW (Cl2), MW + RF (bias) (Ar) | Ko and Pang25 | |
MoS2 | Ar | Cl2 | Cl radicals, Ar ion beam | Lin et al.34 | |
Si | Ar | Cl2 or F2, CL2, | Cl2 | Cl/F remote plasma, Ar ion beam, ECR, ECR | Sakaue et al.,4 Matsuura et al.,5 Suzue et al.6 |
He | Cl2 | Yun et al.35 | |||
Ar | NF3/CF4 + O2 | NF3/CF4 + O2 remote plasma, Ar ion beam | Sakaue et al.36 | ||
Si3N4 | Ar | C4F8/CHF3 | ICP for dep, 20–35 eV CCP for etch | Li et al.37 | |
Ar | CH3F | ECR | Ishii et al.38 | ||
Ar | CHF3 | ICP helicoil | Kim et al.39 | ||
H2 | NF3 or SF6 | CCP to substrate | Sherpa and Ranjan40 | ||
H2 | Ar/H2 | ECR | Matsuura et al.19 | ||
SiGe | O2 | CF4/C4F8 | ICP (Unspecified by Li et al.41), no bias | Li et al.41 | |
Ar | Cl2 | ECR | Matsuura et al.32 | ||
SiO2 | Ar | Ar/C4F8 | ICP, CCP for substrate bias | Metzler et al.,17 Gasvoda et al.42 | |
O2 | C4F8 | CCP (60 MHz) | Tsutsumi et al.43 | ||
C4F8/Ar | Ar | ICP(?) for C4F8/Ar, biased for Ar | Gasvoda et al.44 | ||
Ar | Ar/CHF3,C4F8 CHF3 | ICP, CCP for substrate bias, ICP | Metzler et al.,45 Dallorto et al.46 | ||
CHF3 | O2/Ar | ICP and CCP for biasing | Koh et al.47 | ||
C4F8 | Ar | ICP | Kaler et al.48 | ||
TiO2 | O2 | C4F8 | Imamura et al.49 | ||
W | NF3 | O2 | ICP helicoil with triple grid | Kim et al.50 | |
ZnO | O2 | Hacac | ICP | Mameli et al.51 | |
ZrO2 | Ar | Ar/Cl2/BCL3 | ICP for Ar/Cl2/BCl3, CCP for Ar | Marneffe et al.33 |
Etched material . | Plasma step 1 . | Plasma step 2 . | Thermal step . | Plasma source . | Lead, reference . |
---|---|---|---|---|---|
Al2O3 | Ar | BCl3 | ICP with neutralizer grid | Min et al.20 | |
CF4/H2 | Ar | ICP | Kuzmenko et al.21 | ||
SF6 | TMA | Not specified | Chittock et al.22 | ||
AlGaN | Ar | BCL3/Cl2 | Pulsed ICP, 50–150 V CCP bias to substrate | Ohba et al.18 | |
Cl2 | Ar | ICP (700 W)/0–100 V CCP bias to substrate | Fukumizu et al.23 | ||
AlN | CF4/H2 | Ar | ICP | Kuzmenko et al.21 | |
SF6 | TMA | Remote plasma | Wang et al.24 | ||
AlInAs | Ar | Cl2 | ECR: MW (Cl2), MW + RF (bias) (Ar) | Ko and Pang25 | |
BeO | Ar | BCL3 | ICP with neutralizer grid | Min26 | |
Cr | O2, Cl2 | Cl2, Ar | ICP with neutralizer grid | Park et al.27 | |
GaAs | Ar | Cl2 | Electron beam, ECR, dc bias to substrate, Ar ion beam | Meguro et al.,28 Aoyagi et al.,29 Ishii et al.30 | |
GaInAs | Ar | Cl2 | ECR: MW for Cl2, MW + RF (bias) for Ar step | Ko and Pang25 | |
GaN | Ar | BCL3/Cl2 | Pulsed ICP, 50–150 V CCP bias to substrate | Ohba et al.18 | |
Ge | Ar | Cl2 Cl2 | ECR, ECR | Sugiyama et al.,31 Matsuura et al.32 | |
HfO2 | CF4/H2 | Ar | ICP | Kuzmenko et al.21 | |
Ar | Ar/Cl2/BCL3 | ICP for Ar/Cl2/BCl3, CCP for Ar | Marneffe et al.33 | ||
InP | Ar | Cl2 | ECR: MW (Cl2), MW + RF (bias) (Ar) | Ko and Pang25 | |
MoS2 | Ar | Cl2 | Cl radicals, Ar ion beam | Lin et al.34 | |
Si | Ar | Cl2 or F2, CL2, | Cl2 | Cl/F remote plasma, Ar ion beam, ECR, ECR | Sakaue et al.,4 Matsuura et al.,5 Suzue et al.6 |
He | Cl2 | Yun et al.35 | |||
Ar | NF3/CF4 + O2 | NF3/CF4 + O2 remote plasma, Ar ion beam | Sakaue et al.36 | ||
Si3N4 | Ar | C4F8/CHF3 | ICP for dep, 20–35 eV CCP for etch | Li et al.37 | |
Ar | CH3F | ECR | Ishii et al.38 | ||
Ar | CHF3 | ICP helicoil | Kim et al.39 | ||
H2 | NF3 or SF6 | CCP to substrate | Sherpa and Ranjan40 | ||
H2 | Ar/H2 | ECR | Matsuura et al.19 | ||
SiGe | O2 | CF4/C4F8 | ICP (Unspecified by Li et al.41), no bias | Li et al.41 | |
Ar | Cl2 | ECR | Matsuura et al.32 | ||
SiO2 | Ar | Ar/C4F8 | ICP, CCP for substrate bias | Metzler et al.,17 Gasvoda et al.42 | |
O2 | C4F8 | CCP (60 MHz) | Tsutsumi et al.43 | ||
C4F8/Ar | Ar | ICP(?) for C4F8/Ar, biased for Ar | Gasvoda et al.44 | ||
Ar | Ar/CHF3,C4F8 CHF3 | ICP, CCP for substrate bias, ICP | Metzler et al.,45 Dallorto et al.46 | ||
CHF3 | O2/Ar | ICP and CCP for biasing | Koh et al.47 | ||
C4F8 | Ar | ICP | Kaler et al.48 | ||
TiO2 | O2 | C4F8 | Imamura et al.49 | ||
W | NF3 | O2 | ICP helicoil with triple grid | Kim et al.50 | |
ZnO | O2 | Hacac | ICP | Mameli et al.51 | |
ZrO2 | Ar | Ar/Cl2/BCL3 | ICP for Ar/Cl2/BCl3, CCP for Ar | Marneffe et al.33 |
The classic embodiment of directional ALE is etching of silicon by using chlorine chemisorption in the modification step followed by the removal of silicon chloride products with low-energy argon ions. Chlorination was demonstrated with chlorine gas without plasma by Athavale and Economou, Park et al., and Oh et al.52–55 This technique is also called thermal chlorination.1 Removal was accomplished by an argon neutral beam with an energy of 50 eV per atom53–55 or argon ions with kinetic energies between 70 and 90 eV that were generated by an ICP plasma.56 Park et al. reported that the etched thickness per cycle was nearly insensitive to the ion energy in the range between 70 and 90 eV.56 This region is called ALE window. Thermal chlorination completely eliminates etching of silicon in the modification step at typical wafer temperatures which can be seen as a benefit in some applications. In this case, the amount of silicon etched per cycle is close to one monolayer. Park et al. measured an EPC of 0.14 nm.54
Plasma can be used to speed up chlorination via highly reactive chlorine radicals.16 Plasma chlorination affects deeper layers in the film leading to EPCs greater than one monolayer. Tan et al. reported an EPC of 1.4 nm for silicon ALE with ICP plasma chlorination and 60–80 eV argon ion removal.57
Some of the EPC increase can be attributed to a silicon loss during the plasma modification step as the activated chlorine reacts spontaneously with the silicon. Kanarik et al. proposed a metric called synergy to measure parasitic material losses due to chemical etching in the modification step and bulk material sputtering in the removal step. The synergy diminishes as these parasitic losses increase. They reported a synergy of 90% for silicon ALE with alternating chlorine and argon plasmas.58
The archetypical example for directional ALE with deposition modification is the etching of SiO2 with alternating C4F8 and Ar plasmas.17,48 The polymerizing gas C4F8 may be replaced by CHF3.45–47 The ion energy in the deposition step is typically optimized to achieve deposition at the bottom of high aspect ratio features. Higher aspect ratios require larger ion energies. The risk of material loss in this step is low because the plasma chemistry is tailored to operate in deposition mode. During the removal step, the ions must penetrate the carbon and fluorine containing reactive layers to stimulate etching at the interface with the SiO2. Therefore, the ion energies used in this embodiment of ALE are higher than for classic ALE, typically between 50 and 100 eV. Capacitively coupled plasmas are used in this process to allow for better control of the polymer composition thanks to lower plasma densities and a reduced degree of dissociation of ions.
Both types of directional ALE require precise control of very low ion energies and need high ion flux densities in the removal step.
The ion energy must also be reduced as much as possible when using plasma for surface modification via chemisorption. Here, high-pressure operation is one solution.57
Furthermore, the width of the ion energy distribution function (IEDF) of a plasma with an RF-powered wafer pedestal depends among other things on the applied RF power and frequency. Bias frequencies between 13 and 27 MHz appear favorable for directional ALE. Lower frequencies produce wide ion energy distributions, while higher frequencies are not effective for ion acceleration. Non-sinusoidal or so-called tailored waveforms can produce nearly mono-energetic IEDF's.59 Pulses of DC voltages achieve a similar effect. Whether these more complicated approaches are needed depends on the specific cases and the ALE window. If the ALE window is below 50–60 eV, conventional bias power with 13 MHz should provide a sufficiently narrow IEDF.
An interesting modification of classic ALE operates in a high energy/short exposure regime.60,61 ALE was demonstrated for ion energies as high as 1000 eV when the removal step time was reduced to 0.2 s. Bias pulsing provides better control of the removal step time. For this type of high-energy ALE, a bias power which utilizes a tailored waveform may provide a narrow IEDF.
Remote plasmas allow for a gentle surface modification, but they may create challenges due to larger reactor volumes and due to the added complexity of implementing bias power in the removal step.
VI. THERMAL ALE
Like plasma-based ALE processes, its thermal counterpart can be divided into different classes that involve specialized modification and removal steps. These classes have been categorized previously by George62 and Fischer et al.,63 and the latter also provides a tally-up of all known thermal ALE processes at the time with their corresponding target materials for etching.
More recent work by Lii-Rosales et al. identified TMA, SiCl4, and TiCl4 as well as a set of silanes, SiCl2(CH3)2, SiCl(CH3)2H, and Si(CH3)4 as new ligand exchange precursors for etching the fluorides of Ga, In, Zn, Zr, Hf, and Sn.14,64 Etch results of all materials and precursors are shown in Table II.
Etch/no-etch summary for a set of metal fluorides using TMA, TiCl4 and the set of silanes as pre-cursors. Numbers inside the cells correspond to the onset temperatures of etching for each case. No etching was observed for any of the metal fluorides with tetramethyl silane Si(CH3)4 and for AlF3 with SiCl4. Data taken from Refs. 14 and 64.
Etched material . | Al(CH3)3 . | TiCl4 . | SiCl4 . | SiCl2(CH3)2 . | SiCl(CH3)2H . | Si(CH3)4 . |
---|---|---|---|---|---|---|
AlF3 | 18 °C | No | ||||
HfF4 | 450 °C | No | ||||
InF3 | 300 °C | No | ||||
SnF4 | 125°C | 120 °C | No | |||
GaF3 | 250 °C | 285 °C | 275 °C | 240 °C | No | |
ZnF2 | 325 °C | 280 °C | 275 °C | No | ||
ZrF4 | 425 °C | 375 °C | 340 °C | No |
Etched material . | Al(CH3)3 . | TiCl4 . | SiCl4 . | SiCl2(CH3)2 . | SiCl(CH3)2H . | Si(CH3)4 . |
---|---|---|---|---|---|---|
AlF3 | 18 °C | No | ||||
HfF4 | 450 °C | No | ||||
InF3 | 300 °C | No | ||||
SnF4 | 125°C | 120 °C | No | |||
GaF3 | 250 °C | 285 °C | 275 °C | 240 °C | No | |
ZnF2 | 325 °C | 280 °C | 275 °C | No | ||
ZrF4 | 425 °C | 375 °C | 340 °C | No |
Another new and exciting part of thermal ALE relates to the process of ligand addition (in contrast to the well-established ligand exchange mechanism). This process was first reported by Lii-Rosales et al.65 and involved the use of P(CH3)3, tri-methyl phosphine, on chlorinated Ni, Pd, and Pt. They showed that all three metals could be etched without plasma involvement.
Partridge et al. demonstrated that after conversion to chlorides via thermal exposure to SO2Cl2, the group of metal oxides involving CoO, ZnO, Fe2O3, and NiO could be etched via ligand addition by tetramethylethylene-diamine (TMEDA).66
Finally, Murdzek et al. demonstrated Co ALE after direct chlorination of metallic cobalt with SO2Cl2 followed by ligand addition with TMEDA or P(CH3)3, respectively.67 ALE on Ni using the same chlorination reactant to modify the metal and P(CH3)3 for removal via ligand addition was demonstrated as well.68
A summary of all known ligand addition-based thermal ALE processes is given in Table III.
Summary of known ligand addition reactions related to thermal ALE. Numbers shown represent the onset temperatures for etching. Data taken from Ref. 65–68.
Etched material . | Modification step . | P(CH3)3 . | TMEDA . |
---|---|---|---|
NiCl2 | 21 °C | ||
PdCl2 | 85 °C | ||
PtCl2 | 90 °C | ||
CoO | SO2Cl2 | <250 °C | |
ZnO | SO2Cl2 | <250 °C | |
Fe2O3 | SO2Cl2 | <250 °C | |
NiO | SO2Cl2 | <250 °C | |
TiO2 | SO2Cl2 | No | |
Cr2O3 | SO2Cl2 | No | |
MnO2 | SO2Cl2 | No | |
V2O5 | SO2Cl2 | Spontanuous with SO2Cl2 at 250 °C | |
CuO | SO2Cl2 | ||
Co | SO2Cl2 | 75 °C | 175 °C |
Ni | SO2Cl2 | 75 °C |
Etched material . | Modification step . | P(CH3)3 . | TMEDA . |
---|---|---|---|
NiCl2 | 21 °C | ||
PdCl2 | 85 °C | ||
PtCl2 | 90 °C | ||
CoO | SO2Cl2 | <250 °C | |
ZnO | SO2Cl2 | <250 °C | |
Fe2O3 | SO2Cl2 | <250 °C | |
NiO | SO2Cl2 | <250 °C | |
TiO2 | SO2Cl2 | No | |
Cr2O3 | SO2Cl2 | No | |
MnO2 | SO2Cl2 | No | |
V2O5 | SO2Cl2 | Spontanuous with SO2Cl2 at 250 °C | |
CuO | SO2Cl2 | ||
Co | SO2Cl2 | 75 °C | 175 °C |
Ni | SO2Cl2 | 75 °C |
It should be understood that ligand addition represents a new approach to mono-layer processing and its applications will certainly extend to ALE where the surface is fluorinated or chlorinated by a plasma.
When it comes to high-volume manufacturing, the costs per device on a wafer (costs per bit for a memory application) must be kept low to maintain profitability for a chip maker. Part of the costs are determined by how fast wafers can move thru processing tools. Thus, especially in atomic layer etching, where monolayer processing is a fundamental challenge for achieving high etch rates, throughput maximization is a requirement.
As was pointed out earlier, plasma enhancement can be used in otherwise thermal ALE processes to increase reaction rates by using ions instead of neutrals for a reaction. Because their reactivity is greatly increased, dosing times in a given ALE step can be reduced. Figure 4 depicts a comparison of fluorine concentration in an Al2O3 film when either thermal or plasma assisted fluorination was applied.
Fluorine concentration at the surface of Al2O3 after fluorination with NF3 gas and plasma as a function of substrate temperature. Open circles correspond to thermal fluorination, solid circles are with an in situ ICP plasma. Reprinted with permission from Fischer et al., J. Vac. Sci. Technol., A 38, 022603 (2020).69 Copyright 2020 American Vacuum Society.
Fluorine concentration at the surface of Al2O3 after fluorination with NF3 gas and plasma as a function of substrate temperature. Open circles correspond to thermal fluorination, solid circles are with an in situ ICP plasma. Reprinted with permission from Fischer et al., J. Vac. Sci. Technol., A 38, 022603 (2020).69 Copyright 2020 American Vacuum Society.
The fluorine content is significantly higher at low temperatures when plasma was used to fluorinate. This effect can be exploited to shorten the fluorination time and increase overall throughput.
Another application of plasma enhancement may be associated with crystalline materials. For thermal ALE, it has been established by Murdzek et al. that materials such as HfO2, ZrO2, HfZrO2, and Al2O3 have lower EPCs when they are in their crystalline form compared to their amorphous counterparts.70,71 Fluorination reactants that were more aggressive than HF such as SiF4 or XeF2 were used and etch rates for both amorphous and crystalline films increased according to the following ordering: HF < SF4 < XeF2 in correspondence with the standard free energies of fluorination for the three fluorine donors but had a marginal effect on increasing etching of the crystalline films especially with longer cycling and when dimethyl aluminumchloride was used during the ligand exchange reaction.
The differences in etch rates between amorphous and crystalline films may be caused by the greater degree of fluorination. More fluorinated sites in the amorphous films provide a larger number of reaction spots in the subsequent ligand-exchange reaction. Also, the amorphous films have a lower density and may be able to better accommodate the large volume expansion upon fluorination.70 Furthermore, crystalline films had been produced from amorphous films via annealing at elevated temperatures (up to 1000 °C) which would have greatly reduced the number of available bonds at the films' surfaces. Using plasma-assisted fluorination on crystalline films should produce surfaces with opened bonds again because even low-energy ions that are impinging onto the surface would have the ability to break existing surface bonds easily.
Johnson et al. reported on the use of plasma to boost the etch rate in crystalline GaN.72 At 250 °C substrate temperature, the EPC for a crystalline GaN film was increased by more than fourfold from 0.65 Å/cycle for a pure thermal ALE process to 2.85 Å/cycle when an NF3 plasma was used for fluorination.72
When etching crystalline AlN, Johnson et al. reported on another benefit of using plasma that relates to its ability to reset a surface should reaction by-products accumulate on it. They had illustrated a sizable EPC increase from 0.36 to 1.96 Å/cycle when a hydrogen plasma or 0.36 to 0.66 Å/cycle when an argon plasma was applied after each of the thermal ALE cycles, respectively.73 Etch chemistry consisted of HF for fluorination and Sn(acac)2 for ligand exchange. Either plasma was believed to play a role in removing acac residues that would otherwise stay behind during the reaction and accumulate leading to an impediment for the fluorination during following ALE cycles.
A similar “surface reset” plasma use was reported by Fischer et al. in which a hydrogen plasma flash cleaned the substrate surface after each ALE cycle from residual tin compounds. Without the plasma flash, etching would cease within ten cycles and tin would accumulate.74 Theses findings are shown in Fig. 5.
Change in Al2O3 thickness as measured by spectral ellipsometry for a CF4/O2 fluorine plasma + Sn(acac)2 vapor process (open circles, dashed line) and for a NF3 plasma + Sn(acac)2 vapor + H2 plasma process (full circles, solid line). The inset shows a TEM of the film stack for the former process condition. The Sn film is clearly visible.74
Change in Al2O3 thickness as measured by spectral ellipsometry for a CF4/O2 fluorine plasma + Sn(acac)2 vapor process (open circles, dashed line) and for a NF3 plasma + Sn(acac)2 vapor + H2 plasma process (full circles, solid line). The inset shows a TEM of the film stack for the former process condition. The Sn film is clearly visible.74
An oxygen plasma was used by Mameli et al. in isotropic ALE of ZnO with acetylacetone. During the acetylacetone etching reaction that is assumed to produce Zn(acac)2, carbon containing species adsorbed on the ZnO surface are suggested to cause the self-limiting behavior. An oxygen plasma step reset the surface for the next ALE cycle.51
Plasma may also play an important role in enabling a larger number of reactants to become viable for either the surface modification or material removal steps during an ALE cycle. In a paper by Wang et al., an EPC of 1.9 Å/cycle was achieved with amorphous AlN at 300 °C when an SF6 plasma was applied for fluorination and TMA for ligand exchange.24 As SF6 is very stable in its molecular form it would normally not be suitable to fluorinate nitrides or oxides. With plasma, however, this reactant becomes a feasible alternative for fluorination. Other halogen containing gases could be used in plasma-assisted modification steps such as CF4, SCl6, or NF3, respectively.
Furthermore, remote plasma sources open the path for ALE on metals such as tungsten as shown by Johnson and George75 and Xie et al.,76,77 molybdenum,78 and copper79 and others by utilizing ozone to oxidize the surface followed by a thermal ligand exchange step. For Si3N4 ALE, a remote ozone plasma has been used to perform ALE via a conversion step to SiO2 followed by thermal HF fluorination and ligand exchange with TMA.80
In the plasma-enhanced version of ALE, adjustable ion energy at the substrate will open the possibility for tuning the degree of anisotropy continuously. This can be done by adjusting the bias power or bias voltage to the electrode holding the wafer. The anisotropy can be adjusted between zero and one continuously depending on the bias level at the substrate electrode. Correlation details between bias voltage and anisotropy have been reported previously by Fischer et al.81
Finally, plasma may be used to remove unwanted halogens from the film surface or grain boundaries in a de-halogenation step. This step would be performed post ALE and may involve a remote plasma.
VII. CHAMBER WALL CONTROL
As ALE processes rely on constant chemical conditions for wafer-to-wafer consistency as well as for long-term and tool-to-tool process repeatability, a consistently clean chamber hardware has to be guaranteed. One challenge in ALE processes arises from the deposition of reaction by-products on chamber walls, pump ports, optical windows, and other parts of the exposed chamber hardware. In addition to process drift, these deposits may pose an increasing threat of particles and contamination as wafer processing continues. They may also impact the lifetime of certain chamber components such as chamber valves or pumps. Hence, regular in situ removal of said deposits is a key for meeting critical productivity requirements.
Microwave (MW) and radio frequency (RF) plasmas are viable solutions for removing thick coatings off chamber walls. Ion bombardment is an inherent feature of an RF-plasma; direct exposure of the chamber parts that need to be cleaned is, therefore, required. For many chamber designs, however, this may become a challenge as some of their parts are hidden from a direct exposure to a plasma source. An incomplete clean with subsequent formation of particles which end up on the wafer may be the result. Microwave plasmas, on the other hand, have an advantage over their RF counterpart as they can reach inaccessible chamber hardware and clean it. Hence, microwave-assisted plasma cleans may be more effective over RF plasmas should reactants be required to travel a tortuous path to reach dirty hardware surfaces.
An additional benefit when using a MW plasma for chamber cleaning is the low rate of surface erosion caused by the absence of an ion-accelerating plasma sheath. Radicals reaching the contaminated parts will react with the contaminated surface chemically without the transfer of kinetic momentum and the associated risk of surface sputtering. Exposed areas cleaning first remain safe from further attack unlike with RF plasma where sputtering can alter or damage the surfaces over time. Over-etch is safe to perform with MW plasmas due to the chemical nature of the cleaning.
Materials such as silicon dioxide, silicon nitride, and low-k materials would typically be removed with a fluorine-containing feed gas such as NF3; organic materials can better be removed with ozone.
VIII. DISCUSSION OF PREFERRED TYPES OF PLASMA SOURCES
As ALE evolves, the focus will shift more and more to the overall processing costs. This will drive a wider adoption of plasmas. As mentioned above, even in thermal ALE, plasmas are useful for throughput enhancement as they enable deeper modified layers (see Fig. 4).
High-density plasma sources such as ICP or ECR sources, respectively, are widely used for classical directional ALE. They are characterized by their ability to maintain low ion energy, typically in the range of 20 eV which is the self-bias of these plasmas. If some level of anisotropy is required then controlled bias can be added to the wafer with a second RF source.
Source pulsing is an option to reduce ion energies below self-bias.82 However, source pulsing of chlorine plasmas, especially at very low source powers, can suffer from inductive-to-capacitive mode transitions due to thermal dissociative attachment reactions with Cl2 during the afterglow. These attachment reactions can reduce the pre-pulse electron densities.83
Reflected powers have a larger magnitude and longer duration when electronegative gases are pulsed.83 Source, matching network, pulsing frequency, and duty cycle must be optimized to accelerate the transition to the inductive mode.
Another solution is pulsing the source between a high and a low state where the low state remains in the inductive mode.83
CCP sources have been utilized for deposition ALE in which a polymer film is first deposited onto the substrate in the deposition step followed by a low ion energy beam in the removal step.17,43,45 The motivation for such an approach seems to have been derived from the corresponding continuous RIE processes (Cl2 etching of silicon and CxFy etching of SiO2 and SiN).
A CCP reactor in which the substrate is excluded from the RF path (RF power would come from an electrode residing at the chamber top) would be able to produce ion energies to the wafer close to the floating potential of the plasma. This will assure etch anisotropies that are comparable to ICP or ECR plasmas. A CCP source, however, would allow for much narrower chamber gaps than would be possible with ICP systems. A narrower chamber gap translates to faster ALE cycle time and better throughput. Ultra-low ion energies in a narrow-gap CCP reactor open the possibilities for a wide range of non-polymerizing halogen chemistries as a small reactor volume enables a fast gas exchange. This is a challenge for ICP-based ALE chambers due to ion flux uniformity concerns. An alternative solution is the development of novel narrow-gap ICP reactors. The development of narrow gap ECR technology appears out of reach.
In conclusion, the motivation for using ICP and ECR sources for classical and CCP sources for deposition ALE was based on the corresponding continuous RIE processes. ICP sources are widely used for RIE of silicon with chlorine-based chemistries, while CCP sources are the technology of choice for etching of SiO2 and SiN with CxFy. Whether these choices will stand the test of time remains to be seen.
Finally, remote plasma sources will play a big role in applications that involve the cleaning of the chamber hardware.
IX. SUMMARY
With the rapid advancement of semiconductor manufacturing toward producing devices with single-nanometer feature sizes and the emergence of new architectures such as 3D designs, chip manufacturing technologies need to be developed to allow for process control at an unprecedented level of precision and repeatability. As increasingly sophisticated control knobs in conventional RIE etchers have become very complex and costly, alternative etch technologies that can leapfrog the cost curve need to be implemented.
Such alternatives at the atomic level consist in atomic layer etching with its two principal types—thermal and plasma-based. A variety of tradeoffs in RIE such as the one between plasma density and ion energy can be addressed by ALE. ALE offers a pathway of “great simplification” when it comes to the understanding and control of the etch chemistry. In addition, by counting etch cycles, etch precision can be increased greatly. Plasma-based ALE can increase the etch rates significantly but has a limit in regard of the isotropy of the etch.
Small above-wafer volumes should be used when designing ALE chambers. This feature allows to reduce the gas residence time above the wafer into the single millisecond range which would still be sufficient for chemisorption of reactants and desorption of byproducts to take place but would allow processes to be designed in the single-second range per ALE cycle.84 Therefore, ALE wafer throughputs could be created that match conventional RIE etches.
The vacuum integrity of ALE chambers should be increased significantly to reduce the reaction rate of air (oxygen) with reactive pre-cursors such as AlCl(CH3)2. An alternative may exist in bleeding a small amount of an O2 gettering gas into the ALE reactor at a flow rate that will approximate the stochiometric atmospheric leak rate of the chamber hardware. That way, low-rate oxidation of sensitive device materials such as TiN can be avoided and by-product deposits from reactions between leaked O2 and certain ALE pre-cursors can be reduced.
Plasma-based ALE processes with low ion energy (ICP, ECR, or remote) can be utilized for anisotropy tuning if they are combined with a tunable bias source. Biasing the plasma during the modification step may also extend the affected modification depth to beyond one monolayer which has a supportive effect on wafer throughput. The development of narrow gap reactors with these high-density plasma sources remains, however, a challenge. Alternatively, narrow gap CCP reactors with well-controlled low-energy ions should be investigated.
Particularly, plasma-based ALE greatly increases the number of materials that can be removed in an etch process. These materials are inaccessible to RIE due to the nonexistence of volatilizable etch by-products. Materials include metals such as Pt, Pa, Fe, Cu, and W in their elemental form. Furthermore, plasma increases the number of available reactants that can be used in the modification step. SF6, that would be hard to utilize in purely thermal ALE processes, is an example.
Finally, nonvolatile etch by-products can be removed from chamber hardware with plasma-based processes using MW technology and, in some cases, RF.
Atomic layer etching has come a long way from its humble beginnings in the late eighties to the rich field of etch chemistries which exists today. New processes and, with it, the ability to etch new materials are discovered rapidly. Thermal as well as plasma-based ALE stand at the threshold of being widely accepted in semiconductor manufacturing as vital add-ons to the existing choices of etch technologies. Both represent a necessary addition to modern device design at the nanometer level.
AUTHOR DECLARATIONS
Conflict of Interest
The authors have no conflicts to disclose.
Author Contributions
Andreas Fischer: Conceptualization (equal); Formal analysis (equal); Investigation (equal); Validation (equal); Writing – original draft (lead); Writing – review & editing (equal). Thorsten Lill: Conceptualization (equal); Data curation (equal); Formal analysis (lead); Investigation (equal); Validation (equal); Writing – original draft (supporting); Writing – review & editing (equal).
DATA AVAILABILITY
The data that support the findings of this study are available within the article and the articles listed in the references section below.