Atomic layer etching (ALE), a cyclic process of surface modification and removal of the modified layer, is an emerging damage-less etching technology for semiconductor fabrication with a feature size of less than 10 nm. Among the plasma sources, inductively coupled plasma (ICP) can be a candidate for ALE, but there is a lack of research linking discharge physics to the ALE process. In this study, we comprehensively investigated the discharge physics of ICPs with a radio frequency (RF) bias and Ar/C4F6 mixture to be considered for the ALE process. Detailed studies on the discharge physics were conducted in each step of ALE (i.e., modification step, removal step) as well as the whole cycle as follows: (1) In the general ALE cycle, plasma properties dependent on the chamber geometry and the discharge mode of the ICP were analyzed; (2) in the modification step, a plasma instability with molecular gas was observed. The timescale for molecular gas removal was also investigated; (3) in the removal step, changes in plasma characteristics with the RF bias power were studied. Based on measurements of these plasma physical parameters, the discharge condition for ALE was optimized. ALE was performed on various thin films, including a-Si, poly c-Si, SiO2, and Si3N4. For each thin film, thicknesses of 0.5–2.0 nm were etched per cycle, as in quasi-ALE. Finally, ALE was performed on a patterned wafer, and the etch thickness of 0.6 nm per cycle and fine etch profile were obtained.

Currently, in the semiconductor industry, the feature size, called the critical dimension (CD), is decreasing to a few nanometers for high integration, and the aspect ratio of patterns is increasing for fabrication of semiconductor devices with a three-dimensional (3D) structure.1–8 For example, the technology node in a logic device has reached 5 nm, and in the future it will be less than 1 nm. Accordingly, the gate length will be shrink to less than 12 nm.9 The aspect ratio of 3D semiconductor memory devices, such as high-aspect-ratio random-access memory (DRAM) and vertical-NAND (V-NAND) flash memory, is near or beyond 100, and the stack number of V-NAND flash memory will be over 500 according to the semiconductor roadmap.10 

With the scaling-down of semiconductors, the thickness of materials to be etched has become remarkably thinner; thus, precise and careful plasma etching is highly required. In high-aspect-ratio (HAR) etching for 3D semiconductor fabrication, a large amount of ion energy is required to obtain a vertically aligned HAR trench. Such a high ion energy leads to a rough surface11–13 and distortion14,15 of the bottom and side materials. Therefore, a damage-free etch step after HAR etching is strongly necessary. In addition, damage-less etching is required for semiconductor materials with weak plasma resistance, such as low-k materials.

Accordingly, atomic layer etching (ALE) has recently emerged to achieve precise and damage-less etching. There have been many observations of the excellent etching characteristics in laboratory studies on ALE, such as roughness reduction,16 flat etching profile,17 and excellent spatial process uniformity,18 using various plasma sources, such as e-beam,19 inductively coupled plasma (ICP),20–23 microwave plasmas,24,25 and helicon plasmas.26 

Among the plasma sources, ICP is a potential candidate for ALE plasma equipment for mass production because of its simple structure and plasma properties with low plasma potential and electron temperature.1 ICP has long been used as a reactive ion etch source in the industry and has proven its stable operation. Moreover, the plasma density and ion energy in ICP with inductive mode operation can be independently controlled with an additional capacitive bias system.27–29 

To optimize the ALE process using ICP, external control parameters need to be set based on a fundamental understanding of discharge characteristics and plasma physics. The discharge characteristics and plasma physics correlate with the plasma parameters, which are affected by external factors such as applied power, chamber geometry, processing gas, and pressure. Compared with the conventional continuous etching process, ALE is a complex process that involves repeating steps in which molecular gas is injected and removed, and bias is applied and removed. Because the external parameters applied in each step are different, and the plasma parameters such as electron density, ion density, neutral density, electron temperature, ion energy, and plasma potential change accordingly, precise process control is difficult without a sufficient understanding of the discharge characteristics in each step and the entire cycle. For example, in the modification step, the injection or removal of the reactive gas changes the electron density and electron temperature, and even leads to plasma instability. The electron density is related to the modification time and process efficiency of the material in the modification step, and the instability of the plasma can reduce the spatial uniformity on wafer and the reproducibility between the ALE cycles. In the removal step, the ion energy distribution, plasma potential, ion density, and electron temperature are changed by the radio frequency (RF) bias power and frequency. These are correlated with the etch results (such as etch thickness per cycle and etch profile) and semiconductor device quality (durability, leakage current, and on/off characteristic). However, despite many attempts in ALE using a ICP system, approach to the ALE process based on the fundamentals of discharge physics is not well studied, and the process conditions have only been experimentally optimized.

In this work, we comprehensively studied the discharge physics for (1) general requirement, (2) modification step, (3) removal step, and (4) a whole cycle of ALE. From this fundamental understanding of the discharge physics by plasma measurement, the optimal experimental conditions of ALE were set, and ALE was performed not only on silicon and dielectric thin films, but also on a patterned wafer.

1. ALE mechanism

ALE technology was first studied 30 years ago,30 at which time it was called digital etching. Meguro et al. performed GaAs etching using a chlorine gas and electron-beam-excited plasma system in four process steps: etchant adsorption, etchant purge, beam irradiation, and product purge.19,31 Hiroyuki et al. carried out Si etching using remote microwave discharge in a CF4/O2/NF3 or F2/He mixture. Repetitive cycles of monolayer removal were performed by employing two separate reaction steps of fluorine adsorption onto a cooled substrate and Ar+ ion irradiation to the fluorinated substrate.32 However, digital etching was not spotlighted in the semiconductor industry when it was first studied because of both the wide CD of semiconductors and the low production yield by the ALE (digital etching) at that time.

Currently, ALE is attracting attention in the semiconductor industry as an essential technology to cope with scaling-down. It has been reported that ALE has the advantages of reducing surface roughness,16 producing a flat etching profile,16 and excellent spatial process uniformity.18 Kanarik et al. reported on the roughness reduction and flat etch profile of the bottom surface after ALE for Ru and Si substrates.16,33 Huard et al. found that ALE was better when compared with continuous etching regarding the spatial uniformity of the etch rate over a 300-mm wafer space.18 ALE can be used as the final etching technique of the HAR process to improve etch profile distortion and surface roughness caused by high ion energy impact during the HAR etch.

ALE is an etching method based on a cyclic process. One cycle involves two steps of modification and removal,16 as shown in Fig. 1(a). In the modification step, the surface of the film is modified through chemical reaction by the reactive radicals, resulting in weak bonding strength in the modification layer. After surface modification, the reactive gases are pumped out from the processing chamber. Next, in the removal step, a certain energy that can break the weakened bonding strength in the modification layer, but cannot influence the layer beneath, is applied. This condition for the optimal energy in the removal step is often called the ALE window, in which ALE can be performed. In the ALE window, even if the ion bombarding time increases, the etch per cycle (EPC) is saturated, as self-limiting behavior.

FIG. 1.

Schematics of (a) one cycle of fluorocarbon-based atomic layer etching (ALE) comprising a modification step and a removal step; and (b) reactions and plasma parameters in each step of fluorocarbon-based (C4F6) ALE for silicon.

FIG. 1.

Schematics of (a) one cycle of fluorocarbon-based atomic layer etching (ALE) comprising a modification step and a removal step; and (b) reactions and plasma parameters in each step of fluorocarbon-based (C4F6) ALE for silicon.

Close modal

2. Reactive gases for ALE

Reactive gas is used to modify the surface of a film by chemisorption, deposition, conversion, and extraction methods.16 The reactive gas can be selected according to the material of the film and the ALE method. For example, chlorine34–37 or fluorine-based22,23,38–41 etching gases have been used to modify film surfaces for ALE of silicon or silicon dioxide.

To protect the HAR-patterned sidewalls during ALE, studies using fluorocarbon gas with a complex chemical structure such as C4F820,22,39,42 to form polymer films have been actively conducted. However, C4F8 does not meet the requirements of next-generation etching gases in the semiconductor industry because of its high global warming potential and long atmospheric lifetime.43,44 Compared with C4F8, C4F6 (hexafluoro-1,3-butadiene) is attracting attention as a next-generation etching gas because it has a lower potential to contribute to global warming,44 short atmospheric lifetime, and a higher etch selectivity of SiO2 to a hard mask owing to its high C/F ratio. Although C4F6 has these advantages as an etching gas, ALE studies using C4F6 remain limited. In this work, the discharge characteristics of C4F6 gas were studied to perform ALE using C4F6 gas. Based on this, the possibility of this ALE was experimentally verified in various materials and patterned wafers.

3. Plasma sources for ALE

ALE has been attempted using various types of sources including laser beams,45 plasma,19–26,35 thermal method,41,46 neutral beams,37,47 ion beams,35 and electron beams.48,49 Among them, plasma has a shorter chemisorption time16 than other methods, enabling anisotropic ALE by ions, and plasma ALE can be performed in existing industrial plasma etch reactors.

Furthermore, there are several plasma sources, such as electron cyclotron resonance discharge,24,25 ICP,20–23 capacitively coupled plasma,50 and helicon plasma,26 used for ALE. For fine process tuning and ALE recipe optimization, plasma generators (sources) require low plasma potential, low electron temperature, spatially uniform plasma generation, and controllable ion energy.

ICP is a promising plasma source for ALE because it has a low plasma potential and electron temperature.2 In addition, radio frequency (RF) capacitive bias can be applied to the electrode. This RF-biased ICP28,29,51,52 allows independent control of the plasma density and ion energy, enabling plasma control during the modification and removal steps independently. ICP is widely used for ALE studies because it has the advantages of easy plasma control, simple chamber geometry, and application of ALE to existing ICP-reactive ion etching without requiring additional equipment.53 

Figure 2 shows the possible cycle recipes for ALE using biased ICP. The combination of ICP and bias can be used as an ALE cycle recipe with continuous or pulsed control. Figure 2(a) presents a recipe in which ICP power is continuously applied and ALE is performed through pulsed bias. In this method, using fluorocarbon gas, a polymer film deposited on the chamber wall is deposited onto the substrate by the ICP, which can affect the reproducibility of the process. This can be prevented by applying the ICP power only during the modification and etch removal steps, as shown in Fig. 2(b). Moreover, the effect of the polymer film can be minimized by removing the polymer deposited on the chamber wall via an additional O2 cleaning step after the removal step. A study has been reported for continuous bias ALE, as shown in Fig. 2(c), using CF4 to reduce ion-induced oxidation during ALE of Si substrate.21 In this approach, low ion energy control is essential to avoid over-etching of the substrate.

FIG. 2.

Schematics of possible ALE cycles using inductively coupled plasma (ICP): (a) Continuous ICP + pulsed bias; (b) pulsed ICP + pulsed bias; (c) continuous ICP + continuous bias.

FIG. 2.

Schematics of possible ALE cycles using inductively coupled plasma (ICP): (a) Continuous ICP + pulsed bias; (b) pulsed ICP + pulsed bias; (c) continuous ICP + continuous bias.

Close modal
FIG. 3.

Schematic of the experimental setup and measurement system.

FIG. 3.

Schematic of the experimental setup and measurement system.

Close modal

Figure 1(b) illustrates the reactions of the modification and removal steps, and the associated plasma parameters in a fluorocarbon-based ALE using a biased ICP. In the modification step, the fluorocarbon gas collides with electrons to form positive and negative ions, radicals, and neutral species, as shown in Fig. 1(b). Neutral density (ng) and electron density (ne) are related to the thickness of the deposited CxFy film and depend on the gas type, applied power, and pressure. In the removal step, the ion density, ion energy, and plasma potential (Vp), which are related to the ion bombarding energy, affect the etching characteristics. Further, discharge characteristics that can affect plasma parameters or processing results include gas residence time and instability of electronegative plasma, but their consideration in ALE has not been investigated and is limited to process results.

In this study, the discharge characteristics of an Ar/C4F6 mixture plasma for the modification and removal steps were investigated, and the ALE recipe and processing conditions were set based on the understanding of these plasma physics. Under these conditions, ALE was performed on a variety of semiconductor materials including silicon, silicon oxide, and silicon nitride. ALE was also conducted on a patterned wafer, and the etch properties were compared with those of continuous etching.

Figure 3 shows the experimental setup used in this study. The chamber has a cylindrical structure and an electrode with a diameter of 300 mm. An ICP system was used to generate the plasma, and a bias system was used to independently control the ion energy.27 The RF power of 13.56 MHz was applied to the ICP source and the RF power of 12.56 MHz was applied to the anodized aluminum electrode. Impedance matching was achieved through an automatic L-type matching network. During the plasma discharge, the electrode was cooled to −10 °C by a cooling system using a mixture of ethylene glycol and water at a 50% ratio. A roots pump and turbo molecular pump were used to maintain the base pressure of 2×106 Torr. The working pressure was adjusted by a throttle valve from 10 to 50 mTorr. The pressure was measured using a capacitive diaphragm gauge, which was calibrated with a standard pressure gauge at the Korea Research Institute of Standard and Science. C4F6 (99.9%) was used as the reactive gas for ALE, Ar (99.999%) was used as the inert gas, and gas flow is controlled by each mass flow controller.

The electron density (ne) was measured using a microwave cutoff probe,54 and the electron energy probability function (EEPF) was determined using a single Langmuir probe55 with AC superposition method.91 The ion flux is measured in real-time using a floating harmonic probe.56 These probes were placed at the center of the discharge chamber. The light emission intensity of plasma radicals was measured through a chamber viewport via optical emission spectroscopy (OES; Ocean optics HR 4000). The ion flux energy distribution function (IFEDF) was obtained using a commercially available field energy analyzer (Semion Retarding Field Energy Analyzer, Impedans Inc.). A high-voltage probe (Tektronix, P6015A) and an oscilloscope (Tektronix, TDS3052) were connected between the matcher and electrode to measure the peak-to-peak voltage (Vpk-pk).

ALE was performed on amorphous silicon (a-Si), polycrystalline silicon (poly c-Si), silicon dioxide (SiO2), and silicon nitride (Si3N4) thin films. To fabricate the thin films, a 400-nm-thick a-Si layer was deposited onto a p-type Si wafer via low-pressure chemical vapor deposition (LPCVD) at 530 °C, flow rate of 60 sccm of SiH4 gas, and pressure of 350 mTorr. A 400-nm poly c-Si layer was deposited onto a p-type Si wafer via LPCVD at 620 °C, 60 sccm of SiH4 gas, and 150 mTorr. A 400-nm-thick SiO2 thin film was prepared onto a p-type Si wafer via thermal oxidation at 1000 °C, 14 slm of H2 gas, and 8 slm of O2 gas. A 400-nm Si3N4 thin film was deposited via LPCVD at 770 °C, 40 sccm of SiH2Cl2 gas, 240 sccm of NH3 gas, and 250 mTorr. Thin-film samples with sizes of 1cm×1cm were used for ALE and placed in the center of the electrode during the process.

The etched thickness was measured using field emission scanning electron microscopy (FE-SEM; Hitachi, S-4800) at 10 kV using ex situ spectroscopic ellipsometry (J. A. Woollam Co., Inc., M-2000D). The ex situ spectroscopic ellipsometry measurements were performed with the incident angle of 70° and spectral range of 193–1000 nm. In this analysis, we used reference data from the literature57 on the complex refractive indices of crystalline Si and amorphous SiO2. To obtain the reference data of the refractive indices of the materials to be etched, such as Si3N4, a-Si, poly c-Si, and CF, thin-film samples with thicknesses of 10 nm or more were prepared. The complex refractive indices of Si3N4 and a-Si were obtained using Tauc–Lorentz dispersion,58 and those of the poly-Si and CF films were obtained using the corresponding dispersion function according to the sum of multiple oscillators.59 After etching, the thicknesses of the thin films were determined using the above reference data of the complex refractive indices of each layer in the spectroscopic ellipsometry analysis.

1. General requirements in ALE process step

a. E-to-H mode transition with inert and reactive gases

In the modification step of ALE, a fluorocarbon gas or a fluorocarbon/inert gas mixture is generally used to deposit the CxFy film onto a substrate. However, fluorocarbon-based plasma highly forms negative ions and dust through ionization, dissociative attachment, and detachment.60 As electronegative plasma, compared with rare gas (Ar) plasma, has different discharge characteristics such as discharge mode and plasma parameters, the process conditions must be set after understanding the discharge physics of the fluorocarbon-based plasma.

In the discharge of the ICP, the E mode (low density) region and the H mode (high density) region are generally observed with the ICP powers.1 The absorbed power consists of capacitive coupling (E mode) and inductive coupling (H mode), and there is a region in which the electron density increases rapidly due to the E-to-H mode transition depending on the applied ICP power.1,61–65 The H mode should be selected as a discharge condition for ALE. This is because the H mode has a high electron density to form many species in the modification step, and the ion bombarding energy is low and damage-less owing to the low plasma potential.

To investigate the ICP power (input power) in the H mode in the Ar/C4F6 mixture plasma, the electron density with the ICP power was measured and compared with that of pure Ar plasma. The chamber pressure was fixed at 10 mTorr, and pure Ar plasma (20 sccm of Ar) and Ar/C4F6 mixture (20 sccm of Ar, 20 sccm of C4F6) plasma were used. The distance between the electrode and the ICP antenna was fixed at 210 mm, and the electron density was measured using a microwave cutoff probe at the height of 70 mm from the electrode.

Figure 4(a) shows the electron density of the pure Ar plasma and Ar/C4F6 mixture plasma against the ICP power. In both cases, the electron density increased as the ICP power increased, but the electron density of the Ar/C4F6 mixture plasma was lower than that of the pure Ar plasma for all ICP powers. This is because the collision energy loss to create an electron and ion pair increases owing to many inelastic reactions at the C4F6 gas, such as dissociation, attachment, and detachment.

FIG. 4.

(a) Measured electron density in pure Ar plasma and Ar/C4F6 mixture plasmas with the ICP power. (b) Comparison of the E-to-H mode transition power regions for cutoff density and OES intensity in Ar/C4F6 mixture plasma.

FIG. 4.

(a) Measured electron density in pure Ar plasma and Ar/C4F6 mixture plasmas with the ICP power. (b) Comparison of the E-to-H mode transition power regions for cutoff density and OES intensity in Ar/C4F6 mixture plasma.

Close modal

Another characteristic is that the ICP power required for the transition from E-to-H mode in the Ar/C4F6 mixture plasma is higher than that in the Ar plasma. In the pure Ar plasma, when the ICP power increased from 55 to 60 W, a transition from the E-to-H mode occurred. Meanwhile, the mode transition occurred when the ICP power was increased from 330 to 335 W in the Ar/C4F6 mixture plasma. The electron density in the mode transition changed from 7.73×108 to 3.04×109 cm−3 for the pure Ar plasma, and from 5.98×108 to 3.29×1010 cm−3 for the Ar/C4F6 mixture plasma. Thus, there was a more drastic increase in the plasma density in the Ar/C4F6 mixture plasma. This is because the skin depth to sustain a stable H mode changes depending on the gas. For stable H mode operation, the skin depth should be equal to or shorter than 23ωνml,61,66 where ω is the driving frequency, νm is the electron–neutral collision frequency, and l is the height of the chamber. Because C4F667 has a larger elastic collision cross section than Ar,68 the skin depth to maintain a stable H mode should be shorter than that of the Ar plasma. In addition, more ICP power is required for the mode transition in the Ar/C4F6 mixture plasma than in the Ar plasma (skindepth1electrondensity).

When selecting the ICP power for ALE, a power higher than the E-to-H transition power is required. This mode transition power region can be confirmed not only via the cutoff method but also using OES, as shown in Fig. 4(b). The intensity of light emitted from the plasma is stronger in the H mode than in the E mode, which is proportional to the electron density. The OES intensity was measured through the chamber viewport and normalized to the wavelength of Ar, 811.5 nm.69,70 As in Fig. 4(b), it was confirmed that the cutoff method and OES results match well in the E-to-H mode transition power region.

b. Chamber geometry effect on plasma parameters

The geometry of the chamber is one of the important factors that can change the plasma parameters, such as ion density, electron temperature, and ion energy. The geometry of the chamber includes the distance between the antenna and electrode, the radius of the chamber, and the shape of the chamber. In particular, as the antenna–electrode gap can be easily adjusted compared with other geometries, it is necessary to understand the discharge characteristics with the gap distance. To realize the effect of the antenna–electrode gap, the electron density and IFEDF were measured as functions of the ICP power under chamber conditions, with varying distances between the ICP antenna and electrode (gap distance) of 125, 182.5, and 240 mm. The gas pressure and Ar flow rate were fixed at 10 mTorr and 10 sccm, respectively.

Figure 5 shows (a) the measured electron density, (b) the electron density after the E-to-H mode transition, and the calculated skin depth and critical length as functions of the gap distance, (c) the measured IFEDF with the gap distance, and (d) the electron temperature derived through the IFEDF. The electron density was measured using the cutoff probe, and the distance between the antenna and the probe was fixed at 55 mm, while the ICP power was increased in increments of 5 W. The IFEDF was measured using a retarding field ion energy analyzer placed on the center of the floating electrode.

FIG. 5.

(a) Electron density as a function of the ICP power with the gap distance. (b) Electron density for stable H mode, calculated skin depth, and critical length as functions of the gap distance. (c) Ion flux energy distribution function (IFEDF) and (d) electron temperature derived through IFEDF with the gap distance.

FIG. 5.

(a) Electron density as a function of the ICP power with the gap distance. (b) Electron density for stable H mode, calculated skin depth, and critical length as functions of the gap distance. (c) Ion flux energy distribution function (IFEDF) and (d) electron temperature derived through IFEDF with the gap distance.

Close modal

As shown in Fig. 5(a), the electron density increased as the ICP power increased and the E-to-H mode transition occurred; however, the electron density decreased as the gap distance increased under the same ICP power condition. This is considered to be the effect of increasing the particle loss area of the chamber by increasing the gap distance according to the power balance equation, which is given by

(1)

where Pabs is the absorbed power, e is the elementary charge, n0 is the central electron density, uB is the Bohm velocity, ϵT is the total energy lost per electron–ion pair, and Aeff is the effective area for particle loss, given by Aeff=2πR2hl+2πRlhR, where R is the chamber radius, l is the chamber height, and hR and hl are the center-to-edge density ratios of the radial and axial directions, respectively.

Another characteristic was observed when the electron density after the mode transition increased with decreasing gap distance [arrows shown in Fig. 5(a)]. At the gap distance of 240 mm, the electron density in the transition condition changed from 7.50×108 to 1.59×109 cm−3; at 182.5 mm, it changed from 7.72×108 to 2.62×109 cm−3; and at 125 mm, it changed from 7.84×108 to 4.78×109 cm−3. This is because the skin depth changes to sustain a stable H mode with the gap distance. As previously mentioned, to sustain a stable H mode, the skin depth must be equal to or shorter than the critical length, as follows: 23ωνml.61 The skin depth, shown in Fig. 5(b), is71 

(2)

where c is the speed of light in a vacuum, and κp is the relative plasma dielectric constant, given by κp=1ωpe2ωωjνm, where ωpe is the electron plasma frequency. ωpe was calculated using the electron density in Fig. 5(b), the driving frequency was ω=13.56MHz, and the electron–neutral collision frequency was calculated using Te=2.2,1.8,and1.6eV in Fig. 5(d), obtained by VpVf=5.2Te in the IFEDF of Fig. 5(c). As shown in Fig. 5(b), the measured electron density after the mode transition (E to H) decreased as the gap distance increased. Because the critical length is a function of l, the critical length decreased as the gap distance decreased. The skin depth required to sustain a stable H mode shortened, and the density to sustain the H mode increased accordingly.

As in Fig. 5(c), a shift of the high energy on the main energy peak occurred in the IFDEF, from 8.3 to 11.2 eV, as the gap distance decreased. This is considered to be the effect of the electron temperature change with the gap distance change-based particle balance equation, which is given by

(3)

where KizTe is the rate constant of ionization, ng is the neutral number density, and deff is the effective plasma size, given by deff=πR2lAeff. As the volume of the chamber increases, deff increases, which leads to a decrease in the electron temperature. That means the plasma potential decreased. These results indicate that consideration of the chamber geometry is essential for controlling the fine ion energy during ALE. More specifically, control of the gap distance is suggested as a method for fine tuning the ion energy.

2. Modification step (fluorination)

a. Instability with processing gas

Although the ICP power for ALE is selected to be higher than the E-to-H mode transition power, a larger input power is required when using fluorocarbon-based gas with negative ions or dust. The reason for this is that in the case of electronegative or dusty plasma, instability occurs due to oscillations caused by the negative ions and dust.72–76 These instabilities can cause large perturbations in plasma parameters such as electron density, ion density, plasma potential, and electron temperature.77 This change of plasma parameters can cause problems of not only reproducibility between etch cycles, but also process uniformity on the wafer.

To confirm the instability depending on the input power condition, the discharge with the ICP power was observed using a camera through the chamber viewport under the Ar/C4F6 plasma condition. The applied power, pressure, and flow rate were the same as the Ar/C4F6 plasma conditions provided in Fig. 4(a). Figure 6(a) shows the electron density of the Ar/C4F6 plasma with the ICP power and (b) shows the discharge pictures taken through the chamber viewport of each region over time. The ICP power range in the E mode is 180–335 W, and in H mode is 335–1000 W. As shown in Fig. 6(b), the discharge with the ICP power can be divided into four regions: Region 1 (180–300 W) is a stable discharge state in the E mode; region 2 (300–335 W) is an E mode, where instability is observed; region 3 (335–600 W) is an unstable discharge state, observed after mode transition; and region 4 (600–1000 W) is a stable discharge state in the H mode. In Fig. 6(b), details of discharge areas marked by dotted lines in the power regions 2 and 3 are described in the supplementary material.

FIG. 6.

(a) Electron density and marked unstable area with the ICP power of the Ar/C4F6 plasma. (b) Pictures of the discharge area with the time corresponding to each power region taken through the chamber viewport. Multimedia views: https://doi.org/10.1063/5.0047811.1; https://doi.org/10.1063/5.0047811.2; https://doi.org/10.1063/5.0047811.3; https://doi.org/10.1063/5.0047811.4

FIG. 6.

(a) Electron density and marked unstable area with the ICP power of the Ar/C4F6 plasma. (b) Pictures of the discharge area with the time corresponding to each power region taken through the chamber viewport. Multimedia views: https://doi.org/10.1063/5.0047811.1; https://doi.org/10.1063/5.0047811.2; https://doi.org/10.1063/5.0047811.3; https://doi.org/10.1063/5.0047811.4

Close modal

In the cases of regions 1 and 4, there were no changes in the discharge area over time. On the other hand, in regions 2 and 3, particularly region 3, it was observed that the discharge area indicated by the dotted line contracts and expands over time. Specifically, in the case of region 3, although the power condition corresponds to the stable H mode in the Ar plasma, instability occurs when C4F6 gas is injected. Therefore, it is necessary to consider the ICP power beyond the first H mode that does not cause instability, as in region 4, as the process condition of ALE.

b. Gas residence time

The molecular gas was injected into the chamber to form the modification layer. As soon as the molecular gas flowed in the rare gas plasma, the electron density decreased immediately because the collision timescale is tens of μs to hundreds of ms.78 After the modification step is completed, the molecular gas must be purged to carry out the removal step, and the purging time of the gas is related to the residence time. A long purging time indicates that the mass production of the process is difficult. However, for a short purging time, the molecular gas remains in the chamber, affecting the plasma and ion energy in the next removal step, and may cause drift in the plasma process. Thus, an appropriate time is required for purging the gas, and it is necessary to select an ALE recipe considering the gas residence time.

The gas residence time in the steady state79 is given by

(4)

where V is the volume of the chamber, p is the pressure, and Q is the total gas flow rate. Figure 7(a) illustrates the calculated residence times as functions of the total flow rate at the chamber pressures of 10 and 50 mTorr. The residence time decreased as the total flow rate increased, and the chamber pressure at a fixed flow rate decreased. When the total flow rate was 6 sccm at 10 mTorr, the residence time was 21.50 s, and when the total flow rate increased to 210 sccm, the residence time decreased significantly to 0.61 s. At the same total flow rate of 210 sccm, the residence time increased from 0.61 to 3.07 s as the pressure increased from 10 to 50 mTorr.

FIG. 7.

(a) Calculated residence times as functions of the total gas flow rate at 10 and 50 mTorr. (b) Ion flux dynamics during C4F6 gas (10 sccm) on/off in Ar ICP at 50 mTorr, ICP power of 1 kW, and Ar flow rate of 200 sccm.

FIG. 7.

(a) Calculated residence times as functions of the total gas flow rate at 10 and 50 mTorr. (b) Ion flux dynamics during C4F6 gas (10 sccm) on/off in Ar ICP at 50 mTorr, ICP power of 1 kW, and Ar flow rate of 200 sccm.

Close modal

To investigate the effect of the recovery time79 (due to the gas residence time) on the plasma, the ion flux over time measured through the floating harmonic probe as the C4F6 gas was removed. The floating harmonic probe was placed at the center of the chamber under conditions of ICP power of 1 kW, Ar flow rate of 200 sccm, pressure of 50 mTorr, and an electrode gap of 210 mm. After the Ar plasma on, C4F6 gas of 10 sccm was turned on and turned off after 1 s. As shown in Fig. 7(b), the ion flux decreased immediately after the C4F6 gas was turned on, and the ion flux increased as soon as the C4F6 was turned off; it took about 3.5 s to recover to the original Ar ion flux value. After the C4F6 was turned off. This agrees well with the gas residence timescale of 3.07 s calculated from Eq. (4). A slight overshooting is also observed after the ion flux is recovered, which can be considered due to the mechanical factors of the throttle valve. The position of the throttle valve just before turning on the C4F6 gas was 29.9%, but after turning on the C4F6 gas, it slightly increased to 30.1%. On the other hand, as soon as C4F6 gas was turned off, the position rapidly decreased to 26.8%. Accordingly, the overshooting of the ion flux when the C4F6 gas turned-off can be considered as a result of throttle valve movement.

3. Removal step (Ion bombardment)

a. Bias effect on electron density

After the modification step was performed, the modification layer was removed by applying the appropriate ion energy in the removal step. As the RF bias voltage was applied to the electrode in the ICP, the ion energy and electron density could be changed. To investigate the change in electron density with the RF bias voltage, the electron density was measured at the ICP power of 1 kW, flow rate of 200 sccm of Ar gas, and pressure of 50 mTorr. The gap distance was fixed at 210 mm, and the electron density was measured using the microwave cutoff probe at the height of 105 mm from the electrode. Figure 8 shows the electron density as a function of the RF bias voltage. As shown in Fig. 8, when the RF bias voltages were applied at 140 and 160 V, the electron density slightly decreased by only 3.5% without any change in other discharge characteristics. The reason for this decrease in the electron density can be explained by an increase in the ion acceleration loss due to the RF bias voltage.80 This result shows that the high electron density for the ALE process was still well-maintained even with the RF bias voltage. Thus, the plasma density is not sensitive to the RF bias in the RF-biased ICP80–83 with this inductive operation, and independent control of ion energy and electron density can be achieved.

FIG. 8.

Electron density with the RF bias voltage (Vpk-pk) of 12.56 MHz at the ICP power of 1 kW, flow rate of 200 sccm of Ar gas, and pressure of 50 mTorr.

FIG. 8.

Electron density with the RF bias voltage (Vpk-pk) of 12.56 MHz at the ICP power of 1 kW, flow rate of 200 sccm of Ar gas, and pressure of 50 mTorr.

Close modal

It is also noted that this independent control may be not always possible in few discharge regimes where a coupling effect of the RF bias and the ICP is significant. It depends on the competition between the power absorption and dissipation proved by the simple global model in fluid picture29 and electron heating mechanism in kinetic picture.28 The coupling effect on the plasma parameters and electrical discharge parameters were studied in a few papers later.27–29,84,85 After the simple global model in the RF biased ICP was first presented,29 more rigorous modeling and simulation were investigated in the papers86–88 and these papers well reproduced the changes in the plasma density by RF bias in the ICPs as a result of the coupling effect. For examples, in the E mode of the ICP, the plasma density is remarkably increased by the RF bias, while in the H mode of the ICP, the plasma density is slightly changed with a small RF bias where PICP > Pbias, but higher RF bias where PICP < Pbias can increase the plasma density due to the electron heating of the high energy electrons by RF bias which are responsible to the ionizations.28 Therefore, the independent control of the plasma density and ion energy will be achieved in the most RF biased ICP source with inductive mode operation with relatively low RF bias power where PICP > Pbias, which well corresponds to the ALE concept where the ion energy is finely controlled near or below the ion sputtering threshold energy.

It is also noted that in the case of the materials with extremely low resistance for the plasma, flux of the plasma and radicals arriving on the weak materials should be decreased. In this case, the E mode of ICP with very small RF bias at the wide electrode gap will be rather useful than H mode because it is difficult to obtain a controllable time to modify the atomic surface of the materials in the H mode.89 The materials in this case are two-dimensional transition metal dichalcogenides materials with van der Waals interaction, such as MoS2, MoTe2, etc.

b. Ion energy and sputtering threshold energy

When the bias power was applied to the electrode, there was no significant change in the electron density, but a large change in the ion flux energy distribution occurred. Figure 9 shows the IFEDF as a function of the bias power. The IFEDF was measured using an ion energy analyzer at the ICP power of 1 kW, flow rate of 20 sccm of Ar gas, pressure of 50 mTorr, and RF bias power of 12.56 MHz.

FIG. 9.

IFEDF with the RF bias power of 12.56 MHz at the ICP power of 1 kW, flow rate of 20 sccm of Ar gas, and pressure of 50 mTorr.

FIG. 9.

IFEDF with the RF bias power of 12.56 MHz at the ICP power of 1 kW, flow rate of 20 sccm of Ar gas, and pressure of 50 mTorr.

Close modal

At the bias power of 5 W in the ICP, the ion flux energy distribution exhibited one peak and a maximum peak energy of 10.5 eV. When the bias power was increased to 7 W, the maximum peak energy increased to 12.0 eV. As the bias power was further increased, the ion flux energy distribution changed to the conventional bimodal and, thus, displayed a distribution with two peaks. It is well known that this distribution of ion energy depends on the RF frequency, mass of the ion, and transit time of the ions through the sheath.71 In addition, as the aspect ratio increases, etch profile distortion may occur due to charge separation of mask and bottom materials. For this, it can be a good method to use pulsed bias or add harmonics to the bias such as voltage waveform tailoring90–93 and phase-locking.94,95

Therefore, by using an RF-biased ICP, the high-density plasma can be maintained with the ICP, and only the ion energy can be independently controlled via the bias power; thus, biased ICP is suitable for ALE.

In the removal step, the atomic layer can be eliminated per cycle by applying an appropriate bias power. The sputtering threshold energy of the surface atoms is lowered by the reactive gas in the previous modification step, and the ion energy between the lowered and the intrinsic sputter threshold energy is required in the removal step. It is known that the sputtering threshold energy depends on the material property with the type of incident ions, the angle of incidence, and the surface roughness of the substrate.

To investigate the sputtering threshold energy by Ar ions, the etch rates with the ion energy were compared for the a-Si, poly c-Si, SiO2, and Si3N4 thin films. The ion energy value of the high-energy peak from the IFEDF measured with the bias power was used. The surface root mean square (rms) roughness of each thin film was measured using atomic force microscopy.

To investigate the etch rate according to the surface roughness of the thin films, the etch rates of poly c-Si with rms roughness values of 8.098 and 3.250 nm were compared. The etch rate of poly c-Si with the rms roughness of 8.098 nm is shown in Fig. 10(b), and that of poly c-Si with the rms roughness of 3.250 nm is shown in Fig. 10(c). Etching was performed with the bias of 12.56 MHz for 60 min at the ICP power of 1 kW, flow rate of 20 sccm of Ar gas, and pressure of 50 mTorr. After etching, the thickness of each thin film was measured using FE-SEM.

FIG. 10.

Etch rates of (a) a-Si, (b) poly c-Si, (c) smooth poly c-Si, (d) SiO2, and (e) Si3N4 as functions of the ion energy in Ar plasma.

FIG. 10.

Etch rates of (a) a-Si, (b) poly c-Si, (c) smooth poly c-Si, (d) SiO2, and (e) Si3N4 as functions of the ion energy in Ar plasma.

Close modal

Figure 10 shows the etch rates of the (a) a-Si, (b) poly c-Si, (c) smooth poly c-Si, (d) SiO2, and (e) Si3N4 thin films as functions of the ion energy. As the ion energy increased, the etch rates increased for all thin films. The slopes of the etch rates increase from an ion energy of 30.4 eV or more, as indicated by the arrows in Figs. 10(a)–10(e).

Another characteristic is that when the surface roughness of the poly c-Si thin film was reduced, the etch rate decreased at the same ion energy. At the ion energy of 100.8 eV, the etch rates of poly c-Si and smooth poly c-Si were 0.27 and 0.15 nm/min, respectively. This is related to the angular dependence of the sputter yield,96–98 and the momentum transfer depends on the incident angle of the ions due to the surface gradient.

From these results, it was confirmed that physical etching by Ar ions occurs at an ion energy of 30.4 eV or more. The sputtering threshold energy is known to be <40 eV for Si,30,99,100 and <50 eV for SiO230,53,101 and Si3N4.39,102,103 In our results, the sputtering threshold energies of the thin films are less than the reported values, which is due to etching by ions having energy higher than the maximum ion energy peak in the ion energy distribution. For example, in the IFEDF for 15 W bias power, as shown in Fig. 9, the maximum ion energy peak is 30.4 eV, and the area of the distribution with energy above 30.4 eV occupies approximately 22% of the total area.

4. Plasma parameters in a cycle of ALE

The ALE recipe was set based on the plasma characteristics that were analyzed in the parts of the general ALE process, modification step, and removal step. The external parameters for ALE included the ICP power (1 kW) in H mode without plasma instability by C4F6, 30 s of purging time considering gas residence time, and bias power below the sputtering threshold energy of the etched material. The recipe shown in Fig. 2(a) was used to prevent excessive polymer deposition during the process. Since C4F6 gas has an excessive dissociation rate to form a polymer film,44 the ratio of Ar/C4F6 mixture was diluted to 20:1 in the modification step. The flow of one cycle is shown in Fig. 11(a) and proceeds in the following sequence: (1) the ICP power for Ar plasma discharge is applied for 10 s; (2) C4F6 gas for surface modification is injected for 1 s; (3) the ICP power is turned off and C4F6 gas is purged; (4) the ICP power for Ar plasma discharge is applied for 10 s; and (5) a bias power corresponding to 15.95 eV of ion energy is applied to the electrode for 50 s to remove the modified layer.

FIG. 11.

(a) Electron density in each step of the ALE process. (b) Electron energy probability function (EEPF) in Ar ICP, modification step, and removal step.

FIG. 11.

(a) Electron density in each step of the ALE process. (b) Electron energy probability function (EEPF) in Ar ICP, modification step, and removal step.

Close modal

Figure 11 shows the electron density measured using the cutoff probe and the EEPF measured using the single Langmuir probe in the whole ALE process step. As shown in Fig. 11(a), the electron density was approximately 2.0×1012cm3 in the Ar ICP and remained stable over time. In the modification step, the electron density decreased from 2.0×1012 to 3.8×1011cm3 compared with the Ar ICP. This decrease in the electron density is because the absorbed power is additionally dissipated by energy loss as a result of dissociation and vibrational/rotational collisions, and the increase in the electronegativity of the gas discharge.104–106 In the removal step, the electron density decreased from 2.0×1012 to 1.9×1012cm3 compared with the Ar ICP because of the increase in ion acceleration loss as a bias voltage was applied.27 

The EEPF was measured at each step of the ALE cycle, i.e., at Ar ICP, modification step, and removal step, as shown in Fig. 11(b). When the ICP power was applied with Ar, the measured EEPF showed a Maxwellian distribution. In the modification step, where C4F6 gas is injected, the EEPF changed to a non-Maxwellian distribution; the slope of the EEPF decreases (increase in the electron temperature) and slightly flattens in the low-electron-energy region (1–5 eV). This flattens in the low energy region could be understood by electron heating via enhanced electron–neutral collisions and/or electron cooling via the increase in inelastic collisions, such as vibrational/rotational, dissociation, and dissociative attachment reactions of C4F6 and radicals generated by C4F6.107,108 In the removal step, the measured EEPF shows a non-Maxwellian distribution with a high energy part, and the density and energy distribution of low-energy electrons from 0 to 6 eV are similar to those of the Ar ICP. However, the number of electrons in the high-energy tail of the EEPF increases. This is because the bias power contributes to the additional electron heating by the oscillating sheath,27,29 and accordingly, the population of high-energy electrons increases. It is confirmed that stable, high-density plasma was maintained in this ALE recipe.

1. Chamber wall conditioning

In Secs. III A 1–III A 4, the discharge characteristics to be considered at each step or cycle were discussed. In addition to plasma parameters, the chamber wall condition and base pressure are crucial factors that can affect the ALE process. In conventional etching processes, it has been reported that the interaction of the chamber wall and the plasma can affect the process result.109–111 In particular, for the ALE processes that control the scale of several angstroms to a few nanometers, reproducibility between cycles is essential, and for this, conditioning of the chamber walls before or during the ALE process is required.

Figure 12(a) shows the EPC of the SiO2 thin film for 30 cycles with increasing bias time before and after chamber wall cleaning. ALE was conducted on a 400-nm-thick SiO2 thin film at the ICP power of 1 kW, flow rates of 200 sccm of Ar gas and 10 sccm of C4F6 gas (1 s), pressure of 50 mTorr, and bias power of 10 W. The cleaning process was performed for 60 min at the ICP power of 1 kW, flow rates of 20 sccm of Ar gas and 10 sccm of O2 gas, and pressure of 10 mTorr before ALE. The EPCs were calculated by measuring the thickness via FE-SEM after ALE.

FIG. 12.

(a) Etches per cycle (EPCs) for 30 ALE cycles before and after chamber wall cleaning. (b) OES results of Ar/C4F6 plasma, Ar plasma before chamber wall cleaning, and Ar plasma after chamber wall cleaning. (c) OES results for the cleaning times with O2 plasma.

FIG. 12.

(a) Etches per cycle (EPCs) for 30 ALE cycles before and after chamber wall cleaning. (b) OES results of Ar/C4F6 plasma, Ar plasma before chamber wall cleaning, and Ar plasma after chamber wall cleaning. (c) OES results for the cleaning times with O2 plasma.

Close modal

When ALE was performed in a contaminated chamber, the EPCs were negative, and it was observed that a 241.5-nm-thick polymer film was deposited, as shown in Fig. 12(a) A1. From the results of ALE conducted after chamber cleaning, a 62.4-nm SiO2 thin film was etched, as shown in Fig. 12(a) A2. It is expected that C4F6 gas effected the polymer film deposited onto the chamber wall, and evidence for this is provided in the OES results in Fig. 12(b). Here, the OES results of Ar plasma at the chamber wall conditions of A1 (red dotted line) in Fig. 12(a), Ar plasma at the chamber wall condition of A2 (blue dotted line) in Fig. 12(a), and Ar/C4F6 plasma (black line) in Fig. 12 are shown. The emission line of C2 (A3ΠgΧ3Πu) at 516112,113 can be seen in the OES results. In Fig. 12(b), a C2 peak can be observed in the Ar/C4F6 plasma, and the pure Ar plasma discharged in a contaminated chamber. Meanwhile, a C2 peak was not observed in the pure Ar plasma after chamber wall cleaning. Even if C4F6 gas is not injected, the polymer film deposited on the wall is sputtered by Ar plasma in the contaminated chamber, and carbon species are present in the plasma.

The polymer films can be easily removed by O2 plasma, as confirmed in Fig. 12(c). Figure 12(c) shows the C2 peak intensity according to the cleaning time with O2 plasma, and the conditions of the O2 plasma used for this are the same as those used in Fig. 12(a). As the cleaning processing time increased, the intensity of C2 decreased. Therefore, control of the chamber wall for ALE is necessary, and a cleaning process is essential, particularly when using a gas that can easily generate a polymer film. In our study, chamber cleaning with O2 plasma was performed before ALE.

2. Base pressure effect on hard mask etch

In processes including carbon-based materials, the base pressure can have a significant effect on the etch results. Among the carbon-based materials, a-C is used as a hard mask for HAR etching owing to its high corrosion resistance, high optical transmittance, and hardness.114 However, because a-C is easily removed by oxygen, it is very sensitive to the base pressure in the chamber.

To investigate the etching characteristics of the a-C mask considering the base pressure, a patterned wafer with an a-C mask was exposed to Ar plasma for 60 min under the ICP power of 1 kW (without RF bias power), Ar gas flow rate of 200 sccm, and pressure of 50 mTorr. Base pressure was measured using a cold cathode gauge. The patterned wafer comprised an a-C (1500 nm)/SiO2 (2000 nm)/Si substrate, as shown in Fig. 13(a). As shown in Fig. 13(b), when the base pressure was 9×105Torr, isotropic etching was observed in the a-C mask, and when the base pressure was 4×106Torr, the vertical pattern of the mask remained, as shown in Fig. 13(c). It is expected that residual oxygen effected the etch profile of a-C; evidence for this is provided in the OES results in Figs. 13(d) and 13(e). Figure 13(d) is the OES spectrum of Ar plasma at a base pressure of 9×105 Torr and 4×106 Torr. Here, the base pressure of 9×105 Torr was obtained by artificially leaking the chamber. The Ar plasma is generated at the same condition of Figs. 13(a) and 13(b). As shown in Figs. 13(d) and 13(e), as the base pressure increased from 4×106 to 9×105 Torr, the intensity of O line (777 nm) increased about 5.2 times. It was confirmed that the residual oxygen caused by the base pressure significantly influenced the etch profile of the a-C mask pattern. Therefore, in our study, the ALE process was conducted at a base pressure lower than 4×106Torr by performing full pumping using a turbo molecular pump.

FIG. 13.

Cross-sectional FE-SEM images of (a) reference patterned wafer before Ar plasma exposure; the patterned wafer after Ar plasma exposure at each base pressure of (b) 9×105 Torr and (c) 4×106 Torr. (d) OES results of Ar plasma at each base pressure of 9×105 Torr and 4×106 Torr. (e) Intensity of O line (777 nm) with the base pressure.

FIG. 13.

Cross-sectional FE-SEM images of (a) reference patterned wafer before Ar plasma exposure; the patterned wafer after Ar plasma exposure at each base pressure of (b) 9×105 Torr and (c) 4×106 Torr. (d) OES results of Ar plasma at each base pressure of 9×105 Torr and 4×106 Torr. (e) Intensity of O line (777 nm) with the base pressure.

Close modal

1. ALE of silicon

Figure 14(a) shows a schematic of the ALE cycle flow reflecting the discharge characteristics discussed in Secs. III A and III B. With this recipe, 30 ALE cycles were performed depending on the ion energy at the bias time of 50 s to determine the ALE windows for the various silicon thin films. The electrode was cooled to −10 °C during ALE to minimize the contribution to spontaneously chemical etching due to electrode temperature.39 The EPCs were obtained by measuring the etched thickness via FE-SEM after the ALE process.

FIG. 14.

(a) Schematics of ALE cycle flow and process conditions. (b) EPCs for 30 cycles of ALE of a-Si (B1), poly c-Si (B2), and smooth poly c-Si (B3) as functions of the ion energy. (c) EPCs for 30 ALE cycles of a-Si (C1), poly c-Si (C2), and smooth poly c-Si (C3) as functions of the bias time at the ion energy of 15. 95 eV (ALE window condition) measured via FE-SEM and ex situ spectroscopic ellipsometer.

FIG. 14.

(a) Schematics of ALE cycle flow and process conditions. (b) EPCs for 30 cycles of ALE of a-Si (B1), poly c-Si (B2), and smooth poly c-Si (B3) as functions of the ion energy. (c) EPCs for 30 ALE cycles of a-Si (C1), poly c-Si (C2), and smooth poly c-Si (C3) as functions of the bias time at the ion energy of 15. 95 eV (ALE window condition) measured via FE-SEM and ex situ spectroscopic ellipsometer.

Close modal

Figure 14(b) shows the EPCs with the ion energy for the a-Si (A1), poly c-Si (A2), and smooth poly c-Si (A3) thin films and the sputtering threshold energy obtained in Fig. 10. At the ion energy of 11.5 eV, all thin films were not etched, and only the polymer films were deposited. The EPCs remained nearly constant in the ion energy range of 15.95–25.37 eV. The EPCs for a-Si were 1.05, 1.68, and 1.64 nm/cycle for the ion energies of 15.95, 20.40, and 25.37 eV, respectively. The EPCs for poly c-Si were 1.26, 1.65, and 1.77 nm/cycle for the ion energies of 15.95, 20.40, and 25.37 eV, respectively. The EPCs for smooth poly c-Si were 0.73, 0.87, and 0.53 nm/cycle for the ion energies of 15.95, 20.40, and 25.37 eV, respectively. As the ion energy increased, not only the modified layer but also the underlayer was etched by high-energy ions, and accordingly, the EPC increased rapidly. It was confirmed that the energy region of 15.95–25.37 eV is the ALE window where quasi-ALE is performed, and the sputtering threshold energy was lowered to approximately 5 eV or more through the modification step in the ALE process.

Another characteristic observed was that even with the same material, the EPC depended on the surface roughness of the thin film. For the poly c-Si thin film, as in Fig. 14(b), the EPCs for the smooth (B3) and rough (B2) surfaces differed by approximately two times. As the ion energy increased in the ALE window, the EPC of poly c-Si slightly increased, but the EPC of smooth poly c-Si remained nearly constant. This is because the sputtering yield by incident ions depends on the incident angle of ions on the surface.96,97

In general, in the ALE window region, even if the ion bombardment time is increased, it exhibits self-limiting etching characteristics.16 To investigate this characteristic, 30 cycles of ALE were performed at the ion energy of 15.95 eV with increasing bias time from 40 to 70 s. Figure 14(c) shows the EPCs for a-Si (C1), poly c-Si (C2), and smooth poly c-Si (C3) with the bias time measured via FE-SEM and ex situ spectroscopic ellipsometer. The EPCs saturated with increasing bias time for all thin films, which is similar for both the FE-SEM and ellipsometer results.

2. ALE in dielectric materials

In the semiconductor industry, silicon oxide or silicon nitride is widely used as an insulator material; thus, in this study, the ALE characteristics were investigated in SiO2 and Si3N4 thin films. ALE was performed under the same conditions as those provided in Fig. 14(a).

Figure 15(a) shows the EPCs with the ion energies of the SiO2 (A1) and Si3N4 (A2) thin films. In Fig. 15(a), the EPCs of the SiO2 and Si3N4 thin films are observed to have nearly constant values. The EPCs for SiO2 were 1.42, 1.68, and 1.30 nm/cycle for ion energies of 15.95, 20.40, and 25.37 eV, respectively. The EPCs for Si3N4 were 2.06, 1.91, and 1.63 nm/cycle for ion energies of 15.95, 20.40, and 25.37 eV, respectively. The EPC of Si3N4 is higher than that of SiO2, which can be understood as a chemical reaction with the C–C structures of the modified layer formed on the Si3N4 surface during the modification step.115,116

FIG. 15.

(a) EPCs for 30 ALE cycles of SiO2 (A1) and Si3N4 (A2) as functions of the ion energy. (b) EPCs for 30 ALE cycles of SiO2 (B1) and Si3N4 (B2) as functions of bias time at the ion energy of 15. 95 eV (ALE window condition) measured via FE-SEM and ex situ spectroscopic ellipsometer.

FIG. 15.

(a) EPCs for 30 ALE cycles of SiO2 (A1) and Si3N4 (A2) as functions of the ion energy. (b) EPCs for 30 ALE cycles of SiO2 (B1) and Si3N4 (B2) as functions of bias time at the ion energy of 15. 95 eV (ALE window condition) measured via FE-SEM and ex situ spectroscopic ellipsometer.

Close modal

To investigate the self-limiting behaviors of the SiO2 and Si3N4 thin films, the EPCs were observed with the bias time at the ion energy (15.95 eV) in the ALE window condition. Figure 15(b) shows the EPCs for SiO2 (B1) and Si3N4 (B2) with the bias time measured via FE-SEM and ex situ spectroscopic ellipsometer. Similar to the results in Fig. 14(b), it was observed that the EPCs saturated over time in the SiO2 and Si3N4 thin films.

3. ALE in patterned wafer

To investigate the etching characteristics of ALE in not only thin films but also patterned wafers, ALE of a patterned wafer was performed under the condition derived from the ALE window of SiO2. A patterned wafer comprises a trench structure with the aspect ratio of 9.7, and the trench constitutes an a-C (1500 nm)/SiO2 (2000 nm)/Si substrate.

Figure 16(a) shows the patterned wafer before etching, (b) after continuous etching, (c) after 30 ALE cycles, and (d) after 100 ALE cycles. ALE was conducted using the recipe shown in Fig. 14(a) at the fixed bias time of 50 s and ion energy of 15.95 eV. Continuous etching was performed for 1 min at the ICP power of 1 kW, flow rates of 200 sccm of Ar gas and 10 sccm of C4F6 gas, pressure of 50 mTorr, and bias power of 155 W.

FIG. 16.

Cross-sectional views of patterned wafer obtained via FE-SEM: (a) before etching, (b) after continuous etching, (c) after 30 ALE cycles, and (d) after 100 ALE cycles.

FIG. 16.

Cross-sectional views of patterned wafer obtained via FE-SEM: (a) before etching, (b) after continuous etching, (c) after 30 ALE cycles, and (d) after 100 ALE cycles.

Close modal

As in Fig. 16(b), the etch profile of the continuous etching confirmed that the trench bottom was asymmetric, as indicated by the arrow in Fig. 16(a). However, the etch profile of ALE, as in Fig. 16(c), has a flat bottom, which was maintained even when the number of cycles increased, as shown in Fig. 16(d). In the etch profile of ALE, roughness at both edges of the trench bottom was observed, which has been reported to be caused by excessive polymer formation.117 The EPC of the patterned wafer with 30 ALE cycles was approximately 0.60 nm/cycle, and even when the number of cycles was increased to 100, the EPC remained constant. Under the same process conditions, the EPC of the SiO2 thin film was 1.42 nm/cycle, and that of the patterned wafer had a smaller value. This is because the flux of radicals and ions reaching the bottom of the trench pattern was reduced in the patterned structure compared with in the thin film.118 

With the optimized conditions, excellent ALE results were obtained with etch control of the angstrom scale on the patterned wafer, and it was confirmed that the EPC remained constant regardless of cycle number. In addition, a flat and vertical etch profile was achieved through ALE, and this results show the possibility of that ALE can be applied as an etch profile improvement method in the HAR etch process.

C4F6 gas (hexafluoro-1,3-butadiene) has a lower global warming potential, a higher dissociation rate than C4F8, and improved sidewall passivation properties, but it is one of the challenging topics in ALE due to its large amount of carbon contamination. In this study, we investigated discharge characteristics for optimization of ALE using ICP with RF bias in an Ar/C4F6 mixture. The discharge characteristics were comprehensively studied for (1) general requirement, (2) modification step, (3) removal step, and (4) a whole cycle of ALE, and through these investigations, RF-biased ICP proved to be suitable as an ALE source. Based on the understanding of the discharge characteristics by plasma measurement, the ALE windows were derived for various thin films, including a-Si, poly c-Si, SiO2, and Si3N4.

We have demonstrated quasi-ALE for Si, SiO2, and Si3N4 thin films, and confirmed that each thin film exhibits self-limiting characteristics in the ALE window. It was observed that these ALE characteristics depend on the surface roughness of the thin film. ALE was successfully achieved on a patterned wafer as well as the thin films. It was confirmed that the etch profile characteristics were superior to those of continuous etching, and the EPC remained constant regardless of the number of cycles.

See supplementary material for the discharge area over time in Fig. 6(b).

This research was supported by the Material Innovation Program (Grant No. 2020M3H4A3106004) of the National Research Foundation of Korea (NRF) funded by the Ministry of Science and ICT, the R&D Convergence Program (Grant No. CAP-17–02-NFRI-01/CRC-20–01-NFRI) of the National Research Council of Science and Technology (NST) of the Republic of Korea, and the Korea Research Institute of Standards and Science (Grant No. KRISS GP2020–0009-03).

The data that support the findings of this study are available from the corresponding author upon reasonable request.

1.
H. C.
Lee
,
Appl. Phys. Rev.
5
,
011108
(
2018
).
2.
T.
Lill
and
O.
Joubert
,
Science
319
,
1050
(
2008
).
3.
K. J.
Kuhn
,
M. D.
Giles
,
D.
Becher
,
P.
Kolar
,
A.
Kornfeld
,
R.
Kotlyar
,
S. T.
Ma
,
A.
Maheshwari
, and
S.
Mudanai
,
IEEE Trans. Electron Devices
58
,
2197
(
2011
).
4.
B.
Wu
,
A.
Kumar
, and
S.
Pamarthy
,
J. Appl. Phys.
108
,
051101
(
2010
).
5.
M.
Ieong
,
B.
Doris
,
J.
Kedzierski
,
K.
Rim
, and
M.
Yang
,
Science
306
,
2057
(
2004
).
6.
H.
Abe
,
M.
Yoneda
, and
N.
Fujiwara
,
Jpn. J. Appl. Phys., Part 1
47
,
1435
(
2008
).
7.
S.
Aachboun
,
P.
Ranson
,
C.
Hilbert
, and
M.
Boufnichel
,
J. Vac. Sci. Technol. A
18
,
1848
(
2000
).
8.
V. M.
Donnelly
and
A.
Kornblit
,
J. Vac. Sci. Technol. A
31
,
050825
(
2013
).
9.
IEEE
,
International Roadmap for Devices and System (IRDS)
(
IEEE
,
2020
).
10.
IEEE
,
International Technology Roadmap for Semiconductors 2.0
(
IEEE
,
2015
).
11.
R.
Pétri
,
P.
Brault
,
O.
Vatel
,
D.
Henry
,
E.
André
,
P.
Dumas
, and
F.
Salvan
,
J. Appl. Phys.
75
,
7498
(
1994
).
12.
M.
Chabloz
,
Y.
Sakai
,
T.
Matsuura
, and
K.
Tsutsumi
,
Microsyst. Technol.
6
,
86
(
2000
).
13.
M.
Martin
and
G.
Cunge
,
J. Vac. Sci. Technol. B
26
,
1281
(
2008
).
14.
J. K.
Kim
,
S. H.
Lee
,
S.
Il Cho
, and
G. Y.
Yeom
,
J. Vac. Sci. Technol. A
33
,
021303
(
2015
).
15.
N.
Negishi
,
M.
Miyake
,
K.
Yokogawa
,
M.
Oyama
,
T.
Kanekiyo
, and
M.
Izawa
,
J. Vac. Sci. Technol. B
35
,
051205
(
2017
).
16.
K. J.
Kanarik
,
T.
Lill
,
E. A.
Hudson
,
S.
Sriraman
,
S.
Tan
,
J.
Marks
,
V.
Vahedi
, and
R. A.
Gottscho
,
J. Vac. Sci. Technol. A
33
,
020802
(
2015
).
17.
K. J.
Kanarik
,
S.
Tan
,
J.
Holland
,
A.
Eppler
,
V.
Vahedi
,
J.
Marks
, and
R. A.
Gottscho
,
Solid State Technol.
56
,
14
(
2013
).
18.
C. M.
Huard
,
S. J.
Lanham
, and
M. J.
Kushner
,
J. Phys. D: Appl. Phys.
51
,
155201
(
2018
).
19.
T.
Meguro
,
M.
Ishii
,
K.
Kodama
,
Y.
Yamamoto
,
K.
Gamo
, and
Y.
Aoyagi
,
Thin Solid Films
225
,
136
(
1993
).
20.
S. S.
Kaler
,
Q.
Lou
,
V. M.
Donnelly
, and
D. J.
Economou
,
J. Phys. D: Appl. Phys.
50
,
234001
(
2017
).
21.
D.
Metzler
,
C.
Li
,
C. S.
Lai
,
E. A.
Hudson
, and
G. S.
Oehrlein
,
J. Phys. D: Appl. Phys.
50
,
254006
(
2017
).
22.
D.
Metzler
,
R. L.
Bruce
,
S.
Engelmann
,
E. A.
Joseph
, and
G. S.
Oehrlein
,
J. Vac. Sci. Technol. A
32
,
020603
(
2014
).
23.
K.
Koh
,
Y.
Kim
,
C.-K.
Kim
, and
H.
Chae
,
J. Vac. Sci. Technol. A
36
,
01B106
(
2018
).
24.
T.
Sugiyama
,
T.
Matsuura
, and
J.
Murota
,
Appl. Surf. Sci.
112
,
187
(
1997
).
25.
T.
Matsuura
,
Y.
Honda
, and
J.
Murota
,
Appl. Phys. Lett.
74
,
3573
(
1999
).
26.
B. J.
Kim
,
S.
Chung
, and
S. M.
Cho
,
Appl. Surf. Sci.
187
,
124
(
2002
).
27.
H. C.
Lee
,
M. H.
Lee
, and
C. W.
Chung
,
Appl. Phys. Lett.
96
,
013511
(
2010
).
28.
H.-C.
Lee
and
C.-W.
Chung
,
Appl. Phys. Lett.
101
,
244104
(
2012
).
29.
H.-C.
Lee
,
J.-Y.
Bang
, and
C.-W.
Chung
,
Thin Solid Films
519
,
7009
(
2011
).
30.
G. S.
Oehrlein
,
D.
Metzler
, and
C.
Li
,
ECS J. Solid State Sci. Technol.
4
,
N5041
(
2015
).
31.
T.
Meguro
,
M.
Hamagaki
,
S.
Modaressi
,
T.
Hara
,
Y.
Aoyagi
,
M.
Ishii
, and
Y.
Yamamoto
,
Appl. Phys. Lett.
56
,
1552
(
1990
).
32.
H.
Sakaue
,
S.
Iseda
,
K.
Asami
,
J.
Yamamoto
,
M.
Hirose
, and
Y.
Horiike
,
Jpn. J. Appl. Phys., Part 1
29
,
2648
(
1990
).
33.
K. J.
Kanarik
,
S.
Tan
, and
R. A.
Gottscho
,
J. Phys. Chem. Lett.
9
,
4814
(
2018
).
34.
K. J.
Kanarik
,
S.
Tan
,
W.
Yang
,
T.
Kim
,
T.
Lill
,
A.
Kabansky
,
E. A.
Hudson
,
T.
Ohba
,
K.
Nojiri
,
J.
Yu
,
R.
Wise
 et al.,
J. Vac. Sci. Technol. A
35
,
05C302
(
2017
).
35.
J. W.
Park
,
D. S.
Kim
,
W. O.
Lee
,
J. E.
Kim
, and
G. Y.
Yeom
,
Nanotechnology
30
,
085303
(
2019
).
36.
K.
Nojiri
,
K. J.
Kanarik
,
S.
Tan
,
E. A.
Hudson
, and
R. A.
Gottscho
, in Extended Abstracts of the 2018 International Conference on Solid State Devices and Materials, Tokyo, 2018, pp. 135–136.
37.
D.
Ohori
,
T.
Fujii
,
S.
Noda
,
W.
Mizubayashi
,
K.
Endo
,
E.-T.
Lee
,
Y.
Li
,
Y.-J.
Lee
,
T.
Ozaki
, and
S.
Samukawa
,
J. Vac. Sci. Technol. A
37
,
021003
(
2019
).
38.
S.
Dallorto
,
A.
Goodyear
,
M.
Cooke
,
J. E.
Szornel
,
C.
Ward
,
C.
Kastl
,
A.
Schwartzberg
,
I. W.
Rangelow
, and
S.
Cabrini
,
Plasma Processes Polym.
16
,
e1900051
(
2019
).
39.
C.
Li
,
D.
Metzler
,
C. S.
Lai
,
E. A.
Hudson
, and
G. S.
Oehrlein
,
J. Vac. Sci. Technol. A
34
,
041307
(
2016
).
40.
E. J.
Capdos Tinacba
,
M.
Isobe
,
K.
Karahashi
, and
S.
Hamaguchi
,
Surf. Coat. Technol.
380
,
125032
(
2019
).
41.
D. R.
Zywotko
,
J.
Faguet
, and
S. M.
George
,
J. Vac. Sci. Technol. A
36
,
061508
(
2018
).
42.
R. J.
Gasvoda
,
A. W.
Van De Steeg
,
R.
Bhowmick
,
E. A.
Hudson
, and
S.
Agarwal
,
ACS Appl. Mater. Interfaces
9
,
31067
(
2017
).
43.
H.
Watanabe
and
Y.
Shimogaki
,
Jpn. J. Appl. Phys., Part 1
45
,
L463
(
2006
).
44.
X.
Li
,
X.
Hua
,
L.
Ling
,
G. S.
Oehrlein
,
M.
Barela
, and
H. M.
Anderson
,
J. Vac. Sci. Technol. A
20
,
2052
(
2002
).
45.
P. R.
Chalker
,
Surf. Coat. Technol.
291
,
258
(
2016
).
46.
S.
Kondati Natarajan
and
S. D.
Elliott
,
Chem. Mater.
30
,
5912
(
2018
).
47.
S. D.
Park
,
D. H.
Lee
, and
G. Y.
Yeom
,
Electrochem. Solid-State Lett.
8
,
C106
(
2005
).
48.
W. M.
Manheimer
,
R. F.
Fernsler
,
M.
Lampe
, and
R. A.
Meger
,
Plasma Sources Sci. Technol.
9
,
370
(
2000
).
49.
T.
Meguro
,
M.
Ishii
,
H.
Kodama
,
M.
Hamagaki
,
T.
Hara
,
Y.
Yamamoto
, and
Y.
Aoyagi
,
Jpn. J. Appl. Phys.
29
,
2216
(
1990
).
50.
T.
Tsutsumi
,
H.
Kondo
,
M.
Hori
,
M.
Zaitsu
,
A.
Kobayashi
,
T.
Nozawa
, and
N.
Kobayashi
,
J. Vac. Sci. Technol. A
35
,
01A103
(
2017
).
51.
H. C.
Lee
and
C. W.
Chung
,
Plasma Sources Sci. Technol.
23
,
062002
(
2014
).
52.
D.
Choi
,
H. J.
Yeom
,
K. H.
You
,
J. H.
Kim
,
D. J.
Seong
,
E.
Yoon
, and
H. C.
Lee
,
Carbon
162
,
423
(
2020
).
53.
R. J.
Gasvoda
,
Z.
Zhang
,
S.
Wang
,
E. A.
Hudson
, and
S.
Agarwal
,
J. Vac. Sci. Technol. A
38
,
050803
(
2020
).
54.
J. H.
Kim
,
S. C.
Choi
,
Y. H.
Shin
, and
K. H.
Chung
,
Rev. Sci. Instrum.
75
,
2706
(
2004
).
55.
R. L.
Merlino
,
Am. J. Phys.
75
,
1078
(
2007
).
56.
M. H.
Lee
,
S. H.
Jang
, and
C. W.
Chung
,
J. Appl. Phys.
101
,
033305
(
2007
).
57.
C. M.
Herzinger
,
B.
Johs
,
W. A.
McGahan
,
J. A.
Woollam
, and
W.
Paulson
,
J. Appl. Phys.
83
,
3323
(
1998
).
58.
G. E.
Jellison
and
F. A.
Modine
,
Appl. Phys. Lett.
69
,
2137
(
1996
).
59.
H. G.
Tompkins
and
E. A.
Irene
,
Handbook of Ellipsometry
(
Norwich
,
New York
,
2005
).
60.
S. X.
Zhao
,
Y. R.
Zhang
,
F.
Gao
,
Y. N.
Wang
, and
A.
Bogaerts
,
J. Appl. Phys.
117
,
243303
(
2015
).
61.
M. H.
Lee
and
C. W.
Chung
,
Phys. Plasmas
13
,
063510
(
2006
).
62.
H. C.
Lee
and
C. W.
Chung
,
Sci. Rep.
5
,
1
(
2015
).
63.
H. C.
Lee
,
B. H.
Seo
,
D. C.
Kwon
,
J. H.
Kim
,
D. J.
Seong
,
S. J.
Oh
,
C. W.
Chung
,
K. H.
You
, and
C.
Shin
,
Appl. Phys. Lett.
110
,
014106
(
2017
).
64.
H. C.
Lee
,
D. H.
Kim
, and
C. W.
Chung
,
Appl. Phys. Lett.
102
,
021914
(
2013
).
65.
H. C.
Lee
and
C. W.
Chung
,
Phys. Plasmas
22
,
053505
(
2015
).
66.
J. K.
Lee
,
H. C.
Lee
, and
C. W.
Chung
,
Curr. Appl. Phys.
11
,
S149
(
2011
).
67.
D.
Gupta
,
M. Y.
Song
,
K. L.
Baluja
,
H.
Choi
, and
J. S.
Yoon
,
Phys. Plasmas
25
, 063504 (
2018
).
68.
V.
Vahedi
,
G.
Dipeso
,
C. K.
Birdsall
,
M. A.
Lieberman
, and
T. D.
Rognlien
,
Plasma Sources Sci. Technol.
2
,
261
(
1993
).
69.
N.
Kodama
,
Y.
Tanaka
,
Y.
Ishisaka
,
K.
Shimizu
,
Y.
Uesugi
,
T.
Ishijima
,
S.
Watanabe
,
S.
Sueyasu
, and
K.
Nakamura
,
Jpn. J. Appl. Phys., Part 1
57
,
036101
(
2018
).
70.
H.
Uchida
,
K.
Tanabe
,
Y.
Nojiri
,
H.
Haraguchi
, and
K.
Fuwa
,
Spectrochim. Acta, Part B
36
,
711
(
1981
).
71.
M. A.
Lieberman
and
A. J.
Lichtenberg
,
Principles of Plasma Discharges and Materials Processing
, 2nd ed. (
Wiley
,
New York
,
2005
).
72.
M.
Tuszewski
,
J. Appl. Phys.
79
,
8967
(
1996
).
73.
P.
Chabert
,
A. J.
Lichtenberg
,
M. A.
Lieberman
, and
A. M.
Marakhtanov
,
Plasma Sources Sci. Technol.
10
,
478
(
2001
).
74.
D.
Samsonov
and
J.
Goree
,
Phys. Rev. E
59
,
1047
(
1999
).
75.
A. M.
Marakhtanov
,
M.
Tuszewski
,
M. A.
Lieberman
,
A. J.
Lichtenberg
, and
P.
Chabert
,
J. Vac. Sci. Technol. A
21
,
1849
(
2003
).
76.
M.
Rosenberg
and
R. L.
Merlino
,
Planet. Space Sci.
55
,
1464
(
2007
).
77.
D. L.
Goodman
and
N. M. P.
Benjamin
,
J. Phys. D: Appl. Phys.
36
,
2845
(
2003
).
78.
H. C.
Lee
,
D. C.
Kwon
,
S.
Oh
,
H. J.
Kang
,
Y. S.
Kim
, and
C. W.
Chung
,
Phys. Plasmas
23
,
063507
(
2016
).
79.
H. H.
Doh
and
Y.
Horiike
,
Jpn. J. Appl. Phys., Part 1
40
,
3419
(
2001
).
80.
H.-C.
Lee
,
M.-H.
Lee
, and
C.-W.
Chung
,
Appl. Phys. Lett.
96
,
071501
(
2010
).
81.
H. C.
Lee
,
C. W.
Chung
,
J. H.
Kim
, and
D. J.
Seong
,
Appl. Phys. Lett.
115
,
064102
(
2019
).
82.
H. C.
Lee
and
C. W.
Chung
,
Plasma Sources Sci. Technol.
24
,
024001
(
2015
).
83.
H. C.
Lee
,
S.
Oh
, and
C. W.
Chung
,
Plasma Sources Sci. Technol.
21
,
035003
(
2012
).
84.
J.
Schulze
,
E.
Schüngel
, and
U.
Czarnetzki
,
Appl. Phys. Lett.
100
,
024102
(
2012
).
85.
B.
Berger
,
T.
Steinberger
,
E.
Schüngel
,
M.
Koepke
,
T.
Mussenbrock
,
P.
Awakowicz
, and
J.
Schulze
,
Appl. Phys. Lett.
111
,
201601
(
2017
).
86.
D. C.
Kwon
,
W. S.
Chang
,
M.
Park
,
D. H.
You
,
M. Y.
Song
,
S. J.
You
,
Y. H.
Im
, and
J. S.
Yoon
,
J. Appl. Phys.
109
,
073311
(
2011
).
87.
D.-Q.
Wen
,
W.
Liu
,
F.
Gao
,
M. A.
Lieberman
, and
Y.-N.
Wang
,
Plasma Sources Sci. Technol.
25
,
045009
(
2016
).
88.
Y.-R.
Zhang
,
F.
Gao
,
X.-C.
Li
,
A.
Bogaerts
, and
Y.-N.
Wang
,
J. Vac. Sci. Technol. A
33
,
061303
(
2015
).
89.
D.
Choi
,
D.
Kim
,
Y.
Jo
,
J. H.
Kim
,
E.
Yoon
, and
H.-C.
Lee
, “
Directly grown Te nanowire electrodes for high-performance MoTe2 field-effect transistors
,”
Appl. Surf. Sci.
(submitted)
90.
F.
Krüger
,
S.
Wilczek
,
T.
Mussenbrock
, and
J.
Schulze
,
Plasma Sources Sci. Technol.
28
,
075017
(
2019
).
91.
E.
Schüngel
,
Z.
Donkó
,
P.
Hartmann
,
A.
Derzsi
,
I.
Korolov
, and
J.
Schulze
,
Plasma Sources Sci. Technol.
24
,
045013
(
2015
).
92.
T.
Faraz
,
Y. G. P.
Verstappen
,
M. A.
Verheijen
,
N. J.
Chittock
,
J. E.
Lopez
,
E.
Heijdra
,
W. J. H.
Van Gennip
,
W. M. M.
Kessels
, and
A. J. M.
MacKus
,
J. Appl. Phys.
128
,
213301
(
2020
).
93.
A.
Derzsi
,
I.
Korolov
,
E.
Schüngel
,
Z.
Donkó
, and
J.
Schulze
,
Plasma Sources Sci. Technol.
22
,
065009
(
2013
).
94.
J.
Franek
,
S.
Brandt
,
B.
Berger
,
M.
Liese
,
M.
Barthel
,
E.
Schüngel
, and
J.
Schulze
,
Rev. Sci. Instrum.
86
,
053504
(
2015
).
95.
B.
Berger
,
S.
Brandt
,
J.
Franek
,
E.
Schüngel
,
M.
Koepke
,
T.
Mussenbrock
, and
J.
Schulze
,
J. Appl. Phys.
118
,
223302
(
2015
).
96.
97.
A.
Hu
and
A.
Hassanein
,
Nucl. Instrum. Methods Phys. Res., Sect. B
281
,
15
(
2012
).
98.
P.
Schneider
,
L.
Bischoff
,
J.
Teichert
, and
E.
Hesse
,
Nucl. Instrum. Methods Phys. Res., Sect. B
117
,
77
(
1996
).
99.
S. M.
Wu
,
R.
van de Kruijs
,
E.
Zoethout
, and
F.
Bijkerk
,
J. Appl. Phys.
106
,
054902
(
2009
).
100.
N. A.
Kubota
,
D. J.
Economou
, and
S. J.
Plimpton
,
J. Appl. Phys.
83
,
4055
(
1998
).
101.
S. S.
Todorov
and
E. R.
Fossum
,
Appl. Phys. Lett.
52
,
365
(
1988
).
102.
A. P.
Prskalo
,
S.
Schmauder
,
C.
Ziebert
,
J.
Ye
, and
S.
Ulrich
,
Surf. Coat. Technol.
204
,
2081
(
2010
).
103.
V.
Martirosyan
,
E.
Despiau-Pujo
,
J.
Dubois
,
G.
Cunge
, and
O.
Joubert
,
J. Vac. Sci. Technol. A
36
,
041301
(
2018
).
104.
H. C.
Lee
,
H. J.
Hwang
,
Y. C.
Kim
,
J. Y.
Kim
,
D. H.
Kim
, and
C. W.
Chung
,
Phys. Plasmas
20
,
033504
(
2013
).
105.
H. C.
Lee
,
M. H.
Lee
, and
C. W.
Chung
,
Phys. Plasmas
17
,
013501
(
2010
).
106.
K. H.
You
,
J.
Schulze
,
A.
Derzsi
,
Z.
Donkó
,
H. J.
Yeom
,
J. H.
Kim
,
D. J.
Seong
, and
H.-C.
Lee
,
Phys. Plasmas
26
,
013503
(
2019
).
107.
P.-T.
Lan
and
B.-H.
Jeon
,
J. Korean Phys. Soc.
64
,
1320
(
2014
).
108.
I.
Rozum
,
P.
Limão-Vieira
,
S.
Eden
,
J.
Tennyson
, and
N. J.
Mason
,
J. Phys. Chem. Ref. Data
35
,
267
(
2006
).
109.
R.
Ramos
,
G.
Cunge
,
O.
Joubert
,
N.
Sadeghi
,
M.
Mori
, and
L.
Vallier
,
Thin Solid Films
515
,
4846
(
2007
).
110.
S. J.
Ullal
,
H.
Singh
,
J.
Daugherty
,
V.
Vahedi
, and
E. S.
Aydil
,
J. Vac. Sci. Technol. A
20
,
1195
(
2002
).
111.
G.
Cunge
,
B.
Pelissier
,
O.
Joubert
,
R.
Ramos
, and
C.
Maurice
,
Plasma Sources Sci. Technol.
14
,
599
(
2005
).
112.
K.
Nishikawa
,
H.
Ootera
,
S.
Tomohisa
, and
T.
Oomori
,
Thin Solid Films
374
,
190
(
2000
).
113.
T.
Nakano
and
S.
Samukawa
,
J. Vac. Sci. Technol. A
17
,
686
(
1999
).
114.
C. Y.
Ho
,
X. J.
Lin
,
H. R.
Chien
, and
C.
Lien
,
Thin Solid Films
518
,
6076
(
2010
).
115.
P.
Machima
and
N.
Hershkowitz
,
J. Phys. D: Appl. Phys.
39
,
673
(
2006
).
116.
M.
Ito
,
K.
Kamiya
,
M.
Hori
, and
T.
Goto
,
J. Appl. Phys.
91
,
3452
(
2002
).
117.
J. J.
Ye
,
G. R.
Ega
, and
S. P.
Thompson
, in
2015 26th Annual SEMI Advanced Semiconductor Manufacturing Conference
(
IEEE
,
2015
), pp.
161
166
.
118.
J.
Yeom
,
Y.
Wu
,
J. C.
Selby
, and
M. A.
Shannon
,
J. Vac. Sci. Technol. B
23
,
2319
(
2005
).

Supplementary Material