Sequential infiltration synthesis (SIS) is an emerging materials growth method by which inorganic metal oxides are nucleated and grown within the free volume of polymers in association with chemical functional groups in the polymer. SIS enables the growth of novel polymer-inorganic hybrid materials, porous inorganic materials, and spatially templated nanoscale devices of relevance to a host of technological applications. Although SIS borrows from the precursors and equipment of atomic layer deposition (ALD), the chemistry and physics of SIS differ in important ways. These differences arise from the permeable three-dimensional distribution of functional groups in polymers in SIS, which contrast to the typically impermeable two-dimensional distribution of active sites on solid surfaces in ALD. In SIS, metal-organic vapor-phase precursors dissolve and diffuse into polymers and interact with these functional groups through reversible complex formation and/or irreversible chemical reactions. In this perspective, we describe the thermodynamics and kinetics of SIS and attempt to disentangle the tightly coupled physical and chemical processes that underlie this method. We discuss the various experimental, computational, and theoretical efforts that provide insight into SIS mechanisms and identify approaches that may fill out current gaps in knowledge and expand the utilization of SIS.

Sequential infiltration synthesis (SIS) has emerged over the past decade1 as a technique to grow hybrid organic-inorganic materials and rapidly fabricate structured inorganic films. SIS relies upon the diffusion of metal-organic vapor precursors into the bulk volume of polymers. Due to attractive chemical interactions with certain polymer functional groups, precursors can exhibit prolonged residence with the polymer. This enables SIS to realize the entrapment of the inorganic metal oxide reaction products within the polymer. This technique works across multiple length scales—whether transforming the properties of macroscale materials or functionalizing nanoscale devices formed by lithography or molecular self-assembly.2 

The development of SIS emerged from the application of atomic layer deposition (ALD) to polymer films. In ALD, alternating pulses of vapor precursors are used to grow thin conformal layers of inorganic materials on solid substrates. Each precursor irreversibly reacts with the substrate chemically in a self-limiting fashion, presenting alternating surface chemistry appropriate for reaction with the subsequent precursor. Polymers, composed of entangled molecular chains with associated free volume, are not dense solids, and the precursors used in ALD can often diffuse through them substantially. The diffusivity of precursors enables SIS in which inorganic material is intentionally deposited within the polymeric phase. While SIS often utilizes ALD precursors and is often conducted in the reactors designed for ALD, the processing parameters required for uniformity and reproducibility differ substantially (Fig. 1). In typical ALD processes, precursor pulses are brief (<2 s) as they are required only to provide sufficient exposure to saturate the surface chemical groups of the growth substrate. In SIS, by contrast, pulse pressures are comparatively larger to provide enough precursors to infiltrate a 3D volume, and exposures are comparatively longer to allow a complete diffusion of the precursors into the polymer phase. The diffusive nature of precursor transport within polymers, in contrast to simple saturation on solid substrates, means that the distribution of the precursors within the film is sensitive to diffusion time, precursor vapor partial pressure, temperature, interactions between the polymer and precursor, and polymer microstructure.

FIG. 1.

SIS and ALD benefit from related but distinct precursor pressures and process times. Short, millisecond- and millitorr-scale pulses of precursors are sufficient to saturate many planar surfaces in ALD. Longer, tens of second- and Torr-scale pulses are typically required to allow full diffusion into polymer films in SIS.

FIG. 1.

SIS and ALD benefit from related but distinct precursor pressures and process times. Short, millisecond- and millitorr-scale pulses of precursors are sufficient to saturate many planar surfaces in ALD. Longer, tens of second- and Torr-scale pulses are typically required to allow full diffusion into polymer films in SIS.

Close modal

Researchers have referred to the process of infiltrating metal-organic precursors into polymers by a variety of different names, such as sequential infiltration synthesis (SIS), vapor phase infiltration (VPI), sequential vapor infiltration (SVI), and multipulse vapor infiltration (MPI). In some implementations, the precursor vapors are delivered in one long pulse. In others, the precursors are delivered in many shorter pulses. Some implementations involve sealing off the growth chamber to contain a large static dose of pressure, while others rely upon long durations of transient precursor flow that is constantly pumped. The different terminology and variations in process parameters should not obscure the fact that these all describe the same fundamental phenomena. The cumulative duration of exposure and partial pressure of the precursor vapor as well as subsequent purge dictate the diffusion of precursor infiltration into and out of the polymer bulk. In this perspective, all referenced literature will be called SIS for simplicity.

SIS emerged as a distinct concept as a consequence of attempts to apply ALD to polymeric substrates by the ALD research community. The diffusion of precursors beyond the near surface of polymers was observed as early as 2005, when the George group noted that polymers, including polymethyl methacrylate (PMMA), could uptake trimethyl aluminum (TMA) by absorption within their free volume.91 However, the crucial role of interactions between precursors and polymer functional groups was not discussed in these early studies. Indeed, the diffusivity of precursors into polymer films was considered a problem in some early studies. In experiments considering PMMA as a masking layer for area-selective ALD for patterned TiO2 growth, researchers found that titanium tetrachloride (TiCl4) diffused and reacted deeper into PMMA films than titanium isopropoxide (TIP).3,4 Unless the PMMA films were of sufficient thickness, precursors would diffuse through the entire film and react with the masked silicon substrate. Therefore, the diffusion of ALD precursors into and reaction with polymer films were viewed as challenges to be overcome. With careful control, ALD largely on the surface—but not intentionally within polymer films—is possible and has its own technological utility, as has been reviewed previously.5 

In the years since the diffusion of ALD precursors through polymers was observed, SIS has been developed to intentionally enable the direct incorporation of metal oxide or metallic materials within polymers, yielding hybrid materials with novel properties. It should be noted that SIS is distinct from molecular layer deposition (MLD) in which purely organic or organic-inorganic hybrid materials are applied as sequential monolayers using self-limiting surface reactions in which the organic components are incorporated in the vapor phase precursors and are retained in the resulting thin films.6 SIS-derived hybrid materials may further be subsequently subjected to plasma or thermal annealing treatments to remove the polymer constituents entirely, resulting in purely inorganic metal or metal oxide structures that retain the form of the original polymer morphology.7,8 Due to the versatility of SIS, researchers have utilized SIS for a wide host of applications with more on the horizon. The demonstrated application spaces for SIS have been recently reviewed in detail.9–11 A survey of SIS literature organized by use inspiration is tabulated in Table I. The first use inspiration category in Table I lists reports that investigate the fundamental physical chemistry that underpins SIS phenomena or otherwise quantifies processing parameters.

TABLE I.

Sequential infiltration synthesis research organized by use inspiration.

Use inspirationMaterialReactant AReactant BPolymer
Fundamental physical chemistry Al2O3 TMA H2Polystyrene-block-polymethyl 
    methacrylate (PS-b-PMMA)12–17  
    Spider silk18  
    PS-b-polyepoxyisoprene (PS-b-PIO)19  
    PMMA14,16,20–28 
    Polyethyl methacrylate (PEMA)20  
    Polypropyl methacrylate (PPMA)20  
    Polybutyl methacrylate (PBMA)20  
    Polyvinyl alcohol (PVA)21,22 
    Polyvinyl pyridine (PVP)28  
    Polyacrylic acid (PAA)28  
    PA-621,22 
    Polybutylene terephthalate (PBT)21,22 
    Polylactic acid (PLA)21,22 
    Polycarbonate(PC)21,22 
    Polyethylene oxide (PEO)21,22 
    Polyethylene terephthalate (PET)21,22 
    PS-b-poly4vinylpyridene (PS-b-P4VP)13  
    PS-b-poly2vinylpyridene (PS-b-P2VP)13  
    PS-random-PMMA (PS-r-PMMA)29  
   O3 PS-b-PMMA30  
   O2 plasma PS-b-P4VP31  
 TiO2 TiCl4 H2PS-b-P4VP32,33 
    PS-b-PMMA34  
  TIP  PS-b-PIO19  
 ZnO DEZ H2PS-b-PMMA12,13,17 
    PS-b-PIO19  
    PS-b-P4VP13  
    PS-b-P2VP13  
    SU-835  
 Wa/WOxa WF6 Si2H6 PS-b-PMMA12,36 
 SiO2a TPS TMA PS-b-PMMA12  
 VOx VOIP H2PS-b-PIO19  
 In2O3 TMIn H2PMMA16  
 Ga2O3 TMGa H2PMMA16  
 SnO2 TDMASn H2O2 PS-b-P2VP37  
    P2VP37  
Imaging Al2O3 TMA H2PS-b-PMMA38,39 
 ZnO DEZ H2Poly(3-hexylthiophene-2,5-diyl) P3HT40  
Catalysis Al2O3 TMA H2PS-b-P4VP with Pd salts41  
 TiO2 TiCl4 H2PEO-b-PPO-b-PEO42  
Triboelectricity Al2O3 TMA H2Polydimethylsiloxane (PDMS)43  
Oil sorption Al2O3 TMA H2Polyurethanes44,45 
Photodetector ZnO DEZ H2SU-846  
Gas sensor ZnO DEZ H2SU-847  
Photoluminesence Al2O3 TMA H2PET48  
 ZnO DEZ H2PMMA49  
Photovoltaics Al2O3 TMA H2PS-b-PMMA50  
 ZnO DEZ H2P3HT40,51–53 
Antireflection Al2O3 TMA H2PS-b-P4VP54  
    PS-b-PMMA55  
Porous materials Al2O3 TMA H2PBT7  
 ZnO DEZ   
 TiO2 TiCl4   
 ZnO DEZ H2Cellulose acetate56  
Filtration Al2O3 TMA H2PS-b-PMMA57  
    Polyethersulfone (PES)58  
    Polymer of intrinsic microporosity (PIM-1)59  
 ZnO DEZ H2 
 TiO2 TiCl4 H2 
UV/thermal stability ZnO DEZ H2Kevlar60  
Electrical properties Mob MoCl5 – P3HT61  
    Polyaniline (PANI)62  
 Snb SnCl4 – PANI62  
 ZnO DEZ H2PANI63  
    SU-88  
    PS-b-P2VP64  
Mechanical property Al2O3 TMA H2PA-665,66 
    Polyurethane (P55D)66  
    SU-867  
    Spider silk68  
    Electronic packaging polymer69  
 TiO2 TIP H2Spider silk68  
    Collagen70  
 ZnO DEZ H2Spider silk68  
    Cellulose71  
    Polytetrafluoroethylene (PTFE)72  
Lithography/pattern transfer Al2O3 TMA H2PS-b-PMMA73–79  
    PMMA73,80–83 
    Poly4-tert-butylstyrene-block-poly-2-vinylpyridine 
    (PtBS-b-P2VP)84  
    ZEP520A (e-beam resist)81  
    CSAR62 (e-beam resist)80  
    SU-885  
    EUV resist86  
    Polyphthalaldehyde87  
    P2VP-b-PS-b-P2VP88,89 
 TiO2 TIP H2SU-885  
Use inspirationMaterialReactant AReactant BPolymer
Fundamental physical chemistry Al2O3 TMA H2Polystyrene-block-polymethyl 
    methacrylate (PS-b-PMMA)12–17  
    Spider silk18  
    PS-b-polyepoxyisoprene (PS-b-PIO)19  
    PMMA14,16,20–28 
    Polyethyl methacrylate (PEMA)20  
    Polypropyl methacrylate (PPMA)20  
    Polybutyl methacrylate (PBMA)20  
    Polyvinyl alcohol (PVA)21,22 
    Polyvinyl pyridine (PVP)28  
    Polyacrylic acid (PAA)28  
    PA-621,22 
    Polybutylene terephthalate (PBT)21,22 
    Polylactic acid (PLA)21,22 
    Polycarbonate(PC)21,22 
    Polyethylene oxide (PEO)21,22 
    Polyethylene terephthalate (PET)21,22 
    PS-b-poly4vinylpyridene (PS-b-P4VP)13  
    PS-b-poly2vinylpyridene (PS-b-P2VP)13  
    PS-random-PMMA (PS-r-PMMA)29  
   O3 PS-b-PMMA30  
   O2 plasma PS-b-P4VP31  
 TiO2 TiCl4 H2PS-b-P4VP32,33 
    PS-b-PMMA34  
  TIP  PS-b-PIO19  
 ZnO DEZ H2PS-b-PMMA12,13,17 
    PS-b-PIO19  
    PS-b-P4VP13  
    PS-b-P2VP13  
    SU-835  
 Wa/WOxa WF6 Si2H6 PS-b-PMMA12,36 
 SiO2a TPS TMA PS-b-PMMA12  
 VOx VOIP H2PS-b-PIO19  
 In2O3 TMIn H2PMMA16  
 Ga2O3 TMGa H2PMMA16  
 SnO2 TDMASn H2O2 PS-b-P2VP37  
    P2VP37  
Imaging Al2O3 TMA H2PS-b-PMMA38,39 
 ZnO DEZ H2Poly(3-hexylthiophene-2,5-diyl) P3HT40  
Catalysis Al2O3 TMA H2PS-b-P4VP with Pd salts41  
 TiO2 TiCl4 H2PEO-b-PPO-b-PEO42  
Triboelectricity Al2O3 TMA H2Polydimethylsiloxane (PDMS)43  
Oil sorption Al2O3 TMA H2Polyurethanes44,45 
Photodetector ZnO DEZ H2SU-846  
Gas sensor ZnO DEZ H2SU-847  
Photoluminesence Al2O3 TMA H2PET48  
 ZnO DEZ H2PMMA49  
Photovoltaics Al2O3 TMA H2PS-b-PMMA50  
 ZnO DEZ H2P3HT40,51–53 
Antireflection Al2O3 TMA H2PS-b-P4VP54  
    PS-b-PMMA55  
Porous materials Al2O3 TMA H2PBT7  
 ZnO DEZ   
 TiO2 TiCl4   
 ZnO DEZ H2Cellulose acetate56  
Filtration Al2O3 TMA H2PS-b-PMMA57  
    Polyethersulfone (PES)58  
    Polymer of intrinsic microporosity (PIM-1)59  
 ZnO DEZ H2 
 TiO2 TiCl4 H2 
UV/thermal stability ZnO DEZ H2Kevlar60  
Electrical properties Mob MoCl5 – P3HT61  
    Polyaniline (PANI)62  
 Snb SnCl4 – PANI62  
 ZnO DEZ H2PANI63  
    SU-88  
    PS-b-P2VP64  
Mechanical property Al2O3 TMA H2PA-665,66 
    Polyurethane (P55D)66  
    SU-867  
    Spider silk68  
    Electronic packaging polymer69  
 TiO2 TIP H2Spider silk68  
    Collagen70  
 ZnO DEZ H2Spider silk68  
    Cellulose71  
    Polytetrafluoroethylene (PTFE)72  
Lithography/pattern transfer Al2O3 TMA H2PS-b-PMMA73–79  
    PMMA73,80–83 
    Poly4-tert-butylstyrene-block-poly-2-vinylpyridine 
    (PtBS-b-P2VP)84  
    ZEP520A (e-beam resist)81  
    CSAR62 (e-beam resist)80  
    SU-885  
    EUV resist86  
    Polyphthalaldehyde87  
    P2VP-b-PS-b-P2VP88,89 
 TiO2 TIP H2SU-885  
a

The material was grown on initial Al2O3 nuclei grown by TMA/H2O.

b

The metal complexes directly and irreversibly with the polymer with no “B reactant.”

As the application space for SIS widens, the functional implementations of the technique are at risk of outpacing the development of a firm theoretical and experimental physicochemical basis for SIS. Attempts at a complete description of the varied and complex phenomena at play during SIS have only just begun.26 Complete descriptions are complicated by the disparate length and time scales involved in the SIS process and the wide range of interaction strengths introduced by choice of metal-organic precursor, polymer structure, polymer functional group, temperature, and other experimental design variables.

The goal of this perspective is to concisely present the state-of-the-art in SIS chemical physics to introduce a conceptual framework of this developing topic to unfamiliar researchers. As such, this paper focuses on the fundamental physical and chemical phenomena that are at play in SIS. The perspective is structured as follows:

  • Introduction of the conceptual underpinnings of the physical and chemical phenomena involved in SIS.

  • Examination of the experimental “phase space” available in SIS process design.

  • Description of the many characterization techniques from which one may deduce the fundamental phenomena of SIS.

  • Survey of simulation and calculation methods applied to SIS.

  • Perspective on the outstanding gaps in knowledge and potential avenues for future research.

Thermodynamics govern the interactions between the chemical precursors and the polymer chains. A clear understanding of the thermodynamics of the system facilitates the rational design of an SIS process.

1. Precursor solubility

The first thermodynamic process in SIS is the change of phase that takes place when the precursor vapor molecule leaves the headspace of the reactor chamber and dissolves into the polymer matrix. If the precursor is insoluble in the polymer, SIS cannot occur. If the precursor can dissolve into the polymer, then the solubility will dictate the equilibrium concentration of the precursor in the polymer. The concentration of the precursor in the film can impact the density of the resulting SIS material. The simplest classical thermodynamic model for solubility is Henry’s law,

C=Sp,
(1)

where C is the concentration of the precursor in the polymer, S is the solubility coefficient of the precursor in the polymer, and p is the partial pressure of the precursor in the vapor phase. The van’t Hoff equation describes the temperature dependence of S,

S=S0expΔHskT,
(2)

where k is Boltzmann’s constant, T is the temperature, S0 is a constant, and ΔHs is the partial molar enthalpy of dissolution. These basic expressions do not capture the full complexity of the polymer matrix, which consists of free volume elements (also called microvoids) that contribute to the solubility of infiltrating precursors. These are treated in “dual-sorption theory” or Langmuir models that add contributions from the free volume in addition to the polymer chain volume.90 

These simple expressions provide three possible routes to maximizing the equilibrium solubility of the precursor in the polymer: raising the partial pressure of the precursor vapor, raising the temperature of the system, and choosing precursor/polymer pairs with the smallest ΔHs. The accessible range of partial pressure for a given precursor is limited to its vapor pressure at the SIS temperature, in order to prevent condensation of the precursor into the liquid phase on the sample and reactor wall surfaces. Engineering of the polymer free volume may also enhance the solubility of precursor molecules within a polymer film. Temperature will have an impact on this parameter beyond that reflected in the solubility enhancement from the van’t Hoff equation. This is especially true in the vicinity of phase transition temperatures for the polymer (glass, crystallization, etc.).

The solvation of precursor molecules into a polymer film enables the retention of the precursor within the film during the removal of the partial pressure of the vapor phase by purging via vacuum pumps. These solvated molecules may not be interacting with functional groups along the polymer, but are arrested in their movement by the energetic barriers to site-hopping imposed by their local configurational environment. The molecules must change phase back from their solvated state to the gas phase during this purging process, the kinetics of which will be discussed later. The transient entrainment of precursor molecules within the polymer network enables material growth in polymers inert to strong interactions with organometallic precursors, for example, polystyrene (PS)27 and polyethylene (PE) that lack polar groups.91 If the purge time between metal-organic precursor exposure and oxygen-bearing precursor exposure is sufficiently short, the two reactants can mix and react in the polymer phase at the exclusion of substantial mixing in the vapor phase. In this case, the time allowed for desorption of the precursor from the polymer phase to the vacuum is insufficient to completely remove the molecule from the polymer. In a sense, this can be considered a localized chemical vapor deposition (CVD) chemistry within the polymer. However, the outgassing of solvated molecules in inert polymers is expected to be rapid, resulting in an extremely low density of the precursor in the film over practical time scales for the introduction of the second precursor without gas-phase mixing occurring. This yields slow growth rates in such processes, leading to studies of polymer modification with oxidative processes such as plasma treatments to modify the polymer prior to SIS and thereby introduce favorable precursor-polymer interactions.92–95 

2. Polymer-precursor interactions

With precursor molecules solvated within the polymer film, the next thermodynamic consideration is the interaction between the precursor and the chemical moieties built into the polymer chain. There are a number of possible interactions that a precursor molecule may have with the polymer. These interactions may lead to the formation of a reversible complex between the precursor and polymer functional group or lead to complete electron transfer yielding a covalent bond between the precursor and polymer functional group. Depending on the interactions of the precursor molecule, the resulting SIS product may be covalently linked to the polymer backbone in a hybrid material or may exist as a nanocomposite without chemical bonds between the polymer and nucleated metal or metal oxide.

a. Reversible complex.

Research into SIS has provided ample evidence for the existence of a noncovalent attractive interaction between the aluminum atom of TMA and the C=O bond of the ester carbonyl in PMMA.21,23,24,28 The aluminum in the TMA monomer is sp2 hybridized with the three methyl groups bound by the hybrid orbitals formed from the 3s and two 3p orbitals. The remaining empty 3p orbital results in a strong Lewis acid,96,97 which is capable of accepting the lone pairs of the Lewis basic C=O to form a Lewis adduct [Fig. 2(a)]. The vapor-phase TMA molecules can be present in equilibrium with a dimer form, where each Al is bound to four methyl groups, at the temperatures and pressures used in SIS, though the stability and interactions of this dimer within polymers are unkown.26 Density functional theory (DFT) has been used to estimate the energy of this TMA-PMMA Lewis adduct—the energy of the complex formed between the TMA molecule and a PMMA monomer was calculated to be −0.477 eV at 300 K.16 The Lewis adduct is reversible, which enables the interaction to be broken and reformed as the precursor diffuses through the polymer film and encounters multiple adduction sites.

FIG. 2.

(a) Schematic representation of the reversible complex that forms between TMA and the ester carbonyl of PMMA at low temperatures.23,24 (b) Proposed mechanism for direct covalent incorporation of TMA via a pericyclic reaction with PMMA to form PMMA-Al acetate and ethane byproduct at temperatures greater than 150 °C.28 

FIG. 2.

(a) Schematic representation of the reversible complex that forms between TMA and the ester carbonyl of PMMA at low temperatures.23,24 (b) Proposed mechanism for direct covalent incorporation of TMA via a pericyclic reaction with PMMA to form PMMA-Al acetate and ethane byproduct at temperatures greater than 150 °C.28 

Close modal

When water (or other oxygen sources such as ozone,30 oxygen plasma,31 or hydrogen peroxide37) is introduced into a polymer with adducted or trapped organometallic precursors supplied during the first half-cycle of SIS, it encounters a different chemical environment than in the case of ALD. In the SIS case, the water can react directly with molecular TMA that still has all three methyl groups, in contrast to a monomethyl- or dimethyl-aluminum terminated surface as in ALD. The interaction of the organometallic precursor with its environment may also change its reactivity (e.g., by weakening bonds), enabling growth even under conditions that are not amenable to ALD. For example, while the lowest reported temperature for ALD growth of In2O3 using trimethyl indium and water is 200 °C,98 SIS using these same precursors was demonstrated by our group at 80 °C in PMMA—conditions under which no ALD was observed.16 

b. Direct covalent bonding.

If the organometallic precursor and polymer functional group are sufficiently reactive, a substrate-precursor reaction can occur simultaneously with diffusion that directly covalently links the metal to the polymer. For example, TMA and PMMA form a reversible Lewis adduct at low temperatures, but at temperatures in excess of at least 150 °C, the two are proposed to undergo an irreversible pericyclic reaction to form PMMA-Al acetate and ethane as a byproduct, as shown in Fig. 2(b).25,28

The choice of organometallic precursor and polymer functional group can also affect the balance between reversible complexes and covalently reacted groups with the polymer. Direct covalent reactions with a particular precursor can be achieved at lower temperatures through selection of a polymer functional group with a lower energy barrier. Polyacrylic acid (PAA) differs from PMMA in that it has carboxylic acid instead of methyl ester moieties. Recent evidence from the Parsons group points to the direct covalent incorporation of TMA in PAA at significantly lower temperatures (as low as 60 °C) relative to PMMA. This may be attributed to the presence of an acidic proton, which facilitates the reaction, generating methane as a byproduct.28 Careful selection of the functional group and process temperature can, therefore, determine the balance between reversible complexes and direct covalent bond formation during organometallic precursor exposure. This balance is crucial for controlling the uniformity and depth of deposition in polymer films and bulk polymers. In the limit of rapid covalent bond formation that meets or exceeds the kinetics of diffusion, there is a possibility that further diffusion into or out of the underlying polymer film will be impeded as the polymer free volume becomes filled by the covalently bound precursor.

Designing SIS reactions a priori will require detailed understanding of the reaction pathways and interaction energies between polymer functional groups and metal-organic precursors. The reaction pathways of various organic functional groups with TMA were recently studied by the Knez group with a combined experimental and computational effort using substituted phenyls with hydroxyl, amino, and nitro groups.99 This study found energetically favorable and spontaneous reversible complex formation between each of these three functional groups and TMA but found energy barriers to the reactions that would form a covalent bond and liberate a TMA methyl ligand. The calculated energies for the various steps are provided in Table II, and a representative energy-reaction coordinate map for the 4-hydroquinone example is shown in Fig. 3.

TABLE II.

Reactions between TMA and common functional groups.99 

ReversibleReversible complexBarrier to firstTotal reaction
FunctionalcomplexGibbs free energymethyl removalenergy
group(eV)@ 25 °C (eV)(eV)(eV)
Hydroxide (—OH) −0.420 −0.019 +0.337 −1.552 
Amino (—NH2−0.626 −0.166 +0.982 −0.864 
Nitro (—NO2−0.607 −0.207 +0.877 −1.673 
ReversibleReversible complexBarrier to firstTotal reaction
FunctionalcomplexGibbs free energymethyl removalenergy
group(eV)@ 25 °C (eV)(eV)(eV)
Hydroxide (—OH) −0.420 −0.019 +0.337 −1.552 
Amino (—NH2−0.626 −0.166 +0.982 −0.864 
Nitro (—NO2−0.607 −0.207 +0.877 −1.673 
FIG. 3.

Energy diagram for the reaction between TMA and 4-dinitrobenzene. While the formation of reversible complex (E2-E0) is exothermic, the covalent bond formation (E3-E2) presents a significant energy barrier to the final reaction.99 

FIG. 3.

Energy diagram for the reaction between TMA and 4-dinitrobenzene. While the formation of reversible complex (E2-E0) is exothermic, the covalent bond formation (E3-E2) presents a significant energy barrier to the final reaction.99 

Close modal

3. Coreactant dosing and subsequent SIS cycles

The most significant changes to the chemical landscape of the hybrid film occur between the first cycle and subsequent SIS cycles. In the first exposure of a metal-organic precursor, the precursor can only interact with functional groups intrinsic to the polymer itself (or residual contaminants such as H2O). However, after the first full SIS cycle, which includes exposure to the oxygen source—typically water—the film contains both intrinsic polymer functionalities (which may or may not themselves be chemically changed by the first SIS cycle) and metal oxyhydroxides that are now incorporated. These incipient nuclei are often expected to exhibit hydroxyl terminated surfaces, in analogy to a propagating metal oxide ALD surface after water dosing, which may be highly reactive with the organometallic precursor provided in the second SIS cycle. Figure 4 shows the infrared (IR) absorbance at 3016 cm−1, after background subtraction, ascribed to the methane reaction product expected during some part of the SIS of In2O3 in PMMA using TMIn and water.16 There is a marked difference in the intensity of this signal between the first and second TMIn exposure (cycles 1a and 2a), which is attributed to the reaction of TMIn with nucleated indium oxyhydroxide. In the first exposure, no methane is generated, as the TMIn is only reversibly complexed/adducted to the carbonyl in the PMMA backbone. In contrast, the methane observed during the second SIS cycle is strong evidence for the irreversible reaction of indium oxyhydroxide nuclei with TMIn, which may occur in addition to further complexation with carbonyls.

FIG. 4.

Tracking methane evolution during SIS using trimethyl indium and water in PMMA via IR absorbance at 3016 cm−1. During the initial TMIn cycle, no methane is generated, indicating that the complex formed by TMIn is fully reversible. After the first water exposure, methane is generated and indium hydroxide is formed, enabling direct reaction with TMIn in the second exposure.16 

FIG. 4.

Tracking methane evolution during SIS using trimethyl indium and water in PMMA via IR absorbance at 3016 cm−1. During the initial TMIn cycle, no methane is generated, indicating that the complex formed by TMIn is fully reversible. After the first water exposure, methane is generated and indium hydroxide is formed, enabling direct reaction with TMIn in the second exposure.16 

Close modal

4. SIS seeding

a. Alumina seeding.

Early work in SIS recognized the value of seeding the growth of materials other than Al2O3 with a single cycle of TMA/H2O. The SIS of Al2O3 affords aluminum oxyhydroxide seeds with high density and selectivity that are subsequently amenable to reaction with a wide range of organometallic ALD precursors that are freed from the requirement of direct interaction with the polymer phase. Al2O3 SIS was used to seed tungsten growth in polymers by the George group in 2008.100 Tungsten and tungsten oxide, along with SiO2, have also been grown on Al2O3 seeds that were selectively deposited by SIS in the PMMA domains of PS-b-PMMA block copolymers.12,36 This seeding process leverages the well-studied process space of TMA/H2O SIS at the cost of significant alumina incorporation in the resulting deposit, which may be deleterious to the functional properties of the final hybrid composite.

b. Solvent seeding.

SIS can be governed by interactions within the polymer film beyond functional groups in the polymer itself. Films prepared by spin coating, for example, contain residual solvent molecules, which can be retained in the film. The choice of solvent can, therefore, impact SIS if the solvent has a significant Lewis basic character or is otherwise prone to interaction with the intended organometallic precursor. In a study of ZnO SIS in the resist material SU-8, researchers found significant infrared signal of C=O peaks (bonds that are absent from the SU-8 material) from the cyclopentanone solvent used as solvent for spin-coating.35 The presence of this solvent was detected even after baking in excess of typical procedures. The C=O functionality was proposed to bond with diethyl zinc (DEZ) through the reaction scheme shown in Fig. 5.

FIG. 5.

Diagram of potential reactive pathways in the SIS of ZnO via DEZ in the photoresist material SU-8. A reaction pathway involving carbonyl groups from residual cyclopentanone solvent used, confirmed by IR spectroscopy to be retained in the solvent through baking protocols and after SIS.35 

FIG. 5.

Diagram of potential reactive pathways in the SIS of ZnO via DEZ in the photoresist material SU-8. A reaction pathway involving carbonyl groups from residual cyclopentanone solvent used, confirmed by IR spectroscopy to be retained in the solvent through baking protocols and after SIS.35 

Close modal

Generally, these solvent-driven seeding strategies are less controlled than those involving the (self-limited) interactions between polymer functional groups and metal-organic precursors. The nonuniform distribution of solvent molecules with the polymer film or bulk is subject to kinetic control through diffusion that varies strongly with film depth and process conditions, and this can lead to nonuniform SIS.

c. H2O seeding.

Beyond the solvents used in spin coating, many polymer samples exposed to ambient humidity will also absorb water. PMMA, for example, can absorb 2% w/w water under ambient conditions,101 while other polymers of interest may be significantly more hygroscopic. The majority of water is expected to be purged from the polymer films during inert gas purging under the low vacuum conditions of a warm ALD/SIS reactor. However, residual water within the film may react directly with many incoming organometallic precursors. Of course, the presence of water within the film can be intentionally exploited in analogy to the spin-coating solvent discussed above, by applying a controlled water predose in situ, as was done to boost the SIS of ZnO by DEZ in PMMA.49 As a form of solvent seeding, these SIS reactions are generally less uniform and also influenced by the kinetics of small molecule diffusion in polymers.

d. Defect seeding.

Polymers that would be considered inert based on their chemical structure are sometimes observed to support SIS, albeit with much lower growth rates. In recent work by Perego et al., the growth rate of Al2O3 SIS in PS thin films was observed to be nearly independent of the purge time between TMA and H2O exposures.27 This evidence suggests that the dominant mechanism for growth of metal oxide in the PS is not steric entrapment of either reactant vapor within the film, as in such a case purge time dependence would be expected. Rather, reactive defects within the polymer film are proposed to behave as nucleation sites. These reactive defects may in part be attributed to polar groups at the chain ends present in some polymerization chemistries. The ultralow density of these reactive defects would explain the slow growth of Al2O3 in inert polymers such as PS. The possible role of chain-end chemistry may be revealed in the future by studying PS of varying molecular weight (Mw). At lower Mw, there would be a higher density of chain ends for a given film thickness, and a higher nucleation rate may be observed. A 2010 paper studied Al2O3 SIS in plasma-treated and pristine bulk and thin film polystyrene.102 They saw growth in both the plasma-treated samples and in the pristine bulk sample (sample taken from a commercial Petri dish), which had pristine oxygen content as measured by X-ray photoelectron spectroscopy (XPS). They also observed much slower nucleation in the pristine thin film polystyrene, which was shown to be essentially oxygen-free by XPS. The accumulation of growth in the “defect-free” thin film polystyrene was ascribed to oxidation caused by repetitive exposure to the TMA and H2O. The processes by which inorganic deposits nucleate via SIS in such inert polymers require further study.

1. Diffusion

Diffusion governs the transport of precursor molecules from the free film surface throughout the polymer volume. The fundamental science of small molecule diffusion through polymeric films has a long history in the context of membranes for gas separations.103–105 The transport of dissolved small molecules in polymers is called “solution-diffusion” and is driven by the chemical potential gradient imposed by the concentration gradient of penetrant precursor molecules in the film. This chemical potential gradient arises from the energetics of the various interactions described above.106 

At the simplest level, the transport of the organometallic vapors through the polymer can be modeled as a purely diffusive process. Fick’s second law describes how the concentration of the diffusing species changes in space and time,

nt=D2n,
(3)

where n is concentration (volume density of precursor molecules), t is time, and D represents the diffusivity of the precursor molecule in the polymer defined by the following equation:

D=D0eΔHDkT,
(4)

where k is Boltzmann’s constant and T is temperature. ΔHD is the energy barrier for the penetrant precursor molecule to hop from one site to another, and D0 is the frequency of site-hopping attempts of the penetrant molecule. A recent review by Losego and Leng solves this equation for the most common boundary conditions of a thin film on a gas-impermeable substrate and a fiber, yielding expressions for the spatial and temporal concentration of penetrant molecules.2 

Introducing reversible complex formation between the penetrant molecules and polymer functional groups brings a second level of complexity to modeling the diffusion in SIS. By approximating the polymer as a region with a volumetric density of reactive sites c0, transport through the polymer can be described as

ntD2nz2=k+nc01θ+kc0θ.
(5)

Here, n is the volume density of precursor molecules, and θ is the fraction of occupied reactive sites and is governed by the reversible complex rates of formation, k+, and breaking, k,

dθdt=k+nc01θ+kc0θ.
(6)

Combining these two equations yields the following expression for transport of dissolved molecules within the polymer:

ntD2nz2=dθdt.
(7)

This equation is the diffusive equivalent of transport in a chromatography column, where reversible absorption/desorption slows the transport of molecules through the medium. If the concentration of available sites is in local equilibrium with the local density and the solubility of the penetrant molecule is low, the fraction of occupied sites can be approximated as

θ=k+kn
(8)

so that

ntD1+c0k+k2nz2=0.
(9)

In the dilute limit, the transport process can be well-described by conventional diffusion with an effective diffusivity term depending on the ratio of the reversible complex formation/breaking rates. These effects can be captured in a reaction-limited diffusivity D* defined by the following expression:

D*=D1+c0k+k.
(10)

Beyond the dilute approximation, the quasiequilibrium approximation leads to a diffusivity that itself depends on the fraction of the occupied reactive sites within the polymer. In each of these cases, the approximations yield identical Fickian diffusion profiles, just with diffusivity values reduced by the strength of reversible interactions. Further extending these concepts to irreversible reactions between precursors and functional groups can begin with approximations such as those developed for reactive transport in porous materials. These ideas are thoroughly developed in the following references: Refs. 107 and 108.

The influence of complexation energy and formation/breaking rates on diffusivity is well-illustrated by the case of Al2O3 SIS vs In2O3/Ga2O3 SIS. Trimethyl aluminum, trimethyl indium, and trimethyl gallium (TMA, TMIn, TMGa) were used along with water for these three materials respectively, such that the ligands were identical and only the metal varied. These three precursors were found to form isostructural complexes with the carbonyl moiety of PMMA, but the energy of the TMA interaction was calculated to be ∼3× greater than either of the other two materials.16 As a consequence, the depth of In2O3 infiltration in a thick PMMA film under similar conditions is significantly deeper than Al2O3, highlighting how the design of precursor/polymer energetics influences SIS.

Finally, the long residence time of precursor molecules within the polymer raises the possibility of accessing a regime where both coreactants do not mix in the gas phase but are simultaneously present within the polymer. Effectively speaking, this describes a chemical vapor deposition (CVD)-like growth within the polymer itself. Being CVD-like, the reaction takes place wholly between the two molecules without the polymer playing a role, other than enabling the mixing to take place within the polymer and not the vapor phase.

The diffusion of small molecules in polymers has been extensively studied in the literature. For instance, Barrer carried out systematic studies on various polymers involving gases such as hydrogen, oxygen, and carbon dioxide, establishing correlations between the size of the molecule and the activation energy of the diffusion process. In the case of water, a more relevant example in the context of SIS, diffusivities of 1.7 × 10−9 cm2/s at 60 °C and 5 × 10−10 cm2/s at 45 °C have been reported for Kapton polyimide and polyacrylonitrile (PAN) with a reported activation energy of 5.4 kcal/g mol for the Kapton polyimide case.109,110 Beyond water, though, there is a scarcity of data for traditional ALD/SIS precursors. However, if we take a value of 10−9 cm2/s as a reference and we consider a micrometer-thick polymer layer, the resulting characteristic diffusion time (in the absence of strong interactions or reactions with the polymer) is on the order of 10 s. Calculating the diffusivity of SIS precursors in different polymer systems is an extremely useful endeavor. A comprehensive study of the diffusivity of TMA within PMMA was conducted by the Perego group using in situ spectroscopic ellipsometry (SE),27 a technique described in Sec. IV A 2. At 90 °C for a 14 kg/mol PMMA polymer, the diffusivity of TMA was calculated to be 1.2 × 10−12 cm2/s. The three order of magnitude difference from the case of ∼10−9 cm2/s for water highlights the strong role of noncovalent interactions in SIS precursor transport within polymers.

A number of material parameters affect the diffusivity of SIS precursors within polymers independent of the thermodynamics of a given precursor/polymer interaction, as outlined below. It is important to emphasize that all the models above ignore the impact that inorganic clusters growing inside the polymer as part of the SIS can have on both the solubility and the diffusivity of the polymer. The idea that, after a number of SIS cycles, precursor transport within the polymer is greatly impeded agrees well with the experimental observation of dense crusts forming near the surface of polymers after a sufficiently large number of SIS cycles.

2. Polymer free volume

The polymer free volume arises from entropic interactions in the entangled chains of polymers, which can change with the material’s processing history. The polymer chain volume combined with the free volume yields the specific volume (volume/mass), which is the inverse of density. Starting with a glassy polymer in which segmental motion of the polymer chains relative to each other is suppressed, heating causes the volume of the polymer chain to increase due to thermal expansion. The free volume does not increase with temperature until the glass transition temperature (Tg), at which point the free volume fraction vf0 (free volume/specific volume) obeys the following equation:111 

vf0=vf0,Tg+ΔαTTg,
(11)

where Δα is the difference in the thermal expansion coefficient above and below Tg. By raising the temperature of the film above Tg and rapidly quenching it, some of the excess free volume from the rubbery state can be frozen in, such that the polymer is less dense than it would be at slower cooling rates. These thermal treatments can, in principle, enhance the diffusivity of precursors in polymers by increasing the number of hopping pathways along which they have to diffuse. More detailed theory of solvated molecule diffusion as a function of glassy/rubbery state can be found in the following review: Ref. 112.

The polymer free volume can be locally perturbed in the interphase regions near the substrate and near the free surface, which can affect the diffusivity of metal-organic compounds in these regions.113,114 In many systems, this effect is small. For example, in PMMA, the vacuum-polymer interphase region that deviates from bulk PMMA was measured to be ∼2 nm.115 

3. Chain architecture

Developments in polymer synthesis have opened up a massive field of possibilities in polymer chain architecture. Copolymers, bottlebrush polymers, star polymers, and more are possible. There is also a vast range of monomers available, and modifications to monomer groups accessible, with modifications that may directly alter interactions with SIS precursors. More indirect modifications may perturb the free volume of the polymer with implications for SIS precursor diffusivity.

By controlling the volume fraction of methyl methacrylate (MMA) in a PS-r-PMMA random copolymer, the density of reactive sites relative to inert PS sites can be tuned. In a study of such a system, Caligiore et al. found that the diffusion coefficient of TMA through this copolymer was modestly increased over that measured for pure PMMA, at MMA fractions exceeding 10%.29 The SIS deposition rate of Al2O3 was linear with the MMA fraction, as expected, and the porosity and domain size of the annealed metal oxide did not apparently differ across this wide range of MMA fractions. This study thus shows that the density of reactive sites in a polymer can modestly impact the diffusivity of SIS precursors.

In another example, the influence of polymer free volume on the kinetics of precursor transport through thin films was concisely studied by comparing poly-n-methacrylate polymers.20 This series of polymers consists of alkyl chains of increasing length on the ester bond of the molecule. The presence of this alkyl group lowers the glass transition temperature of the molecule by disrupting entanglement of the polymer.116 Thus, at a given reaction temperature, the longest chain polybutyl methacrylate polymer has the largest free volume of the group. Using quartz crystal microgravimetry (QCM), the Jur group found that polymers with increasing free volume elements (longer pendant chains and lower Tg) allow more rapid diffusion of TMA under equivalent SIS conditions (Table III). However, sufficiently long pendant alkyl groups can themselves crystallize. Crystallization of polymer components has been observed to change the distribution of metal oxide products during SIS, likely due to changes in the diffusion pathways within the film.52,53 Therefore, more studies are needed to generalize trends based on such modifications to chain architecture.

TABLE III.

TMA mass uptake of poly-n-methyl methacrylates at 60 °C.20 

Alkyl chainMass uptake after 0.2 s
PolymerunitsTg (°C)20 TMA dose (ng/cm2)
Polymethyl methacrylate 115 60 
Polyethyl methacrylate 65 125 
Polypropyl methacrylate 35 375 
Polybutyl methacrylate 15 640 
Alkyl chainMass uptake after 0.2 s
PolymerunitsTg (°C)20 TMA dose (ng/cm2)
Polymethyl methacrylate 115 60 
Polyethyl methacrylate 65 125 
Polypropyl methacrylate 35 375 
Polybutyl methacrylate 15 640 

While the poly-n-methyl methacrylate isothermal study provides a clear comparison of analogous polymers with disparate Tg, more care must be taken when ascribing changes in SIS behavior across temperatures to Tg. Infiltrated molecules can plasticize polymers, promote chain motion, and lower the effective Tg from what is measured for the polymer by ex situ. The degree to which this plasticization affects diffusivity must be considered on a case-by-case and cycle-by-cycle basis. Temperature simultaneously affects functional group reactivity at the same time as it increases chain motion. Since the true glassy/rubbery transition of polymers under infiltration is not generally known, care must be taken in ascribing temperature-dependent trends in SIS studies to particular phenomena.

4. Polymer molecular weight

The molecular weight (Mw) of the polymer will also influence the diffusive environment of vapor precursors due to the variable volume density of polymer chain ends. A polymer with a larger Mw will have fewer chain ends, which have a larger configurational free volume than monomer units within the chain itself. The glass transition temperature of PMMA, for example, increases as a function of Mw due to the influence of chain-end density.117 This is true across polymers and is described by Flory-Fox theory.118 A higher density of chain ends leads to more diffusive pathways for vapor precursors, and therefore, SIS in the low-diffusion time limit is expected to yield metal or metal oxide at greater depths in a lower Mw polymer film. The diffusivity of TMA in homopolymer PMMA at 90 °C was calculated to be 3.75 times greater when the molecular weight was decreased from 14 to 3.9 kg/mol.27Mw can also affect the density of the resulting SIS product. Al2O3 grown in 200 nm 15 kg/mol PMMA thin films by SIS at 90 °C had an average effective refractive index (neff) of 1.396 (porosity 26%), whereas 350 kg/mol PMMA produced an neff of 1.374 (porosity = 29%).82 This effect is subtle—an order of magnitude difference in molecular weight yields only a 3% change in porosity of the resulting metal oxide product. Nevertheless, this highlights how engineering of the polymer free volume environment and the resulting diffusivity of the precursor through the polymer can influence the properties of the resulting hybrid material. The Mw of the polymer also dictates the density of chain ends, which can have different chemistries as discussed previously. We note, however, that the interaction between organometallic precursors and polymer functional groups affords tunability that is orders of magnitude larger than the reported polymer molecular weight effects alone.

5. Spatial control in SIS

The sensitivity of diffusivity to precursor-polymer energies, along with the nanoscale chemical templates of block copolymers, will enable the precise control of multiple materials to form heterostructures. The first report, by the Segal-Peretz group, demonstrating this concept achieved a hexagonally closed-packed array of ZnO nanowires with Al2O3 tips using a PS-b-PMMA template.17 This was achieved using brief exposures of TMA with a long purge, followed by longer exposures of DEZ with a briefer purge, and completed with a H2O exposure. Because the diffusivity of TMA is exceptionally slow, the vertical depth to which the PMMA domains were infiltrated was limited. Long purges following the TMA enabled much of this infiltrated material to desorb. DEZ can bypass the complexed TMA-MMA zones by way of the PS domains, which the SIS precursors34 do not. By exploiting the differing thermodynamics and kinetics of multiple precursors within a polymer, a variety of heterostructures ranging from linear junctions to core-shell structures may be realized.

The range of materials that have been deposited by ALD is vast and spans much of the periodic table.119,120,137 ALD processes have been developed for the deposition of pure elements, oxides, nitrides, carbides, sulfides, and more. The library of materials grown by SIS to date is, by contrast, far more limited. The periodic tables for ALD and SIS in Fig. 6 highlight this disparity. The current published library of SIS materials includes Al2O3, ZnO, TiO2, In2O3, Ga2O3, VOx, SnOx, SiO2*, W/WOx*, Sn**, and Mo**, where (*) indicates that the material was grown on initial Al2O3 nuclei grown by TMA/H2O and (**) indicates that the metal complexes directly and irreversibly binds to the polymer with no “B” reactant. Although some reports describe the SIS oxide with the standard thermodynamically stable chemical formula, in other reports, a general MOx nomenclature is used, as the oxidation state of the metal may not be definitively known. Here, we use the naming from the original reports. SIS of Al2O3 in PMMA from TMA and H2O is the most well-studied SIS system by far. ZnO and TiO2 are the two next highest in publication frequency with other SIS material processes restricted to a handful of reports. SIS SiO2 and W/WOx have only been achieved with a seed cycle of Al2O3, as previously mentioned.12,36 While this seeding method is useful in providing reactive nuclei for subsequent cycles, the resulting materials will have some Al2O3 content, which may impact functional properties. The development of processes for the direct SIS of functional materials is also desirable.16 A thorough understanding of the chemical physics involved in the varied aspects of SIS will be required as researchers attempt to fill out more of the periodic table in pursuit of novel functional hybrid materials.

FIG. 6.

The periodic tables of (a) ALD and (b) SIS materials. Mo and Sn SIS entail a single precursor molecular dopant.

FIG. 6.

The periodic tables of (a) ALD and (b) SIS materials. Mo and Sn SIS entail a single precursor molecular dopant.

Close modal

The present SIS periodic table is primarily constrained by the limitations of polymeric substrates compared to typical inorganic ALD substrates. Many polymers are not thermally stable in excess of 200 °C and, therefore, cannot survive the high temperatures required for some ALD precursors. Metal precursors must be able to dissolve into the polymer and have a reasonable diffusivity in order to enable SIS and be able to coordinate with functional groups on the polymer. With these considerations in mind, certain classes of ALD chemistries can be evaluated as more challenging:

Platinum group metals and oxides: These materials typically require temperatures in excess of 300 °C and O2 as a coreactant.121,122 One issue with highly oxidizing precursors at higher temperatures may be oxidative damage to inert copolymer domains leading to a lack of selectivity. However, Pt processes as low as room temperature have been demonstrated with more complex coreactant schemes.123 

Transition metals: The most common ALD recipes for materials such as Fe and Ni use metal amidinate precursors and H2 as a coreactant. These processes require similarly high temperatures in excess of 300 °C and are poor candidates for SIS.

Metal nitrides: These materials require ammonia as a precursor and typically very high temperatures in excess of 400 °C and H2 or plasmas as coreactants.

Other classes of materials that can be considered more viable include the following:

Metals using metal hexafluorides: Currently, the only report of direct metal SIS is tungsten using WF6 and silane/disilane.12,36 Mo and Nb are two other common metals deposited with the same basic chemistry, which are amenable to low-temperature processing. One potential issue is the oxidation of these metals during the polymer removal step.

Metal sulfides: Sulfide ALD chemistry is similar to oxide ALD chemistry and is amenable to similarly low temperatures. These materials are a potentially productive route for SIS materials development. By replacing H2O with H2S, the existing periodic table of oxides may be duplicated in sulfides.

Metal fluorides: Similar to the metal sulfides, metal fluorides may be deposited by ALD with appropriate metal precursors and either HF or HF-pyridine vapors. A variety of ALD metal fluorides have been grown at 150 °C,124 a temperature compatible with many polymers.

If the parameter space of the ALD periodic table is formidable, filling out the SIS periodic table will be an even more daunting challenge as processes may be developed along a tremendous number of design axes. The parameter space in SIS process design extends along at least the dimensions described in Fig. 7.

FIG. 7.

The elements of SIS process design. Consideration along these six axes, and more, dictates the resulting material.

FIG. 7.

The elements of SIS process design. Consideration along these six axes, and more, dictates the resulting material.

Close modal

The choice of metal-organic precursor, co-reacting precursor, and polymer functional group is the primary means to control the energetics of the interactions. A recent paper from the Segal-Peretz group demonstrates the first tin oxide SIS recipe using the precursor tetrakis(dimethylamido)tin(iv) (TDMASn) with a hydrogen peroxide coreactant in P2VP polymers.37 The tetrakis(dimethylamido) ligands were previously conjectured2 to be too bulky to permit substantial diffusion for SIS. By switching from the carbonyl in PMMA to the pyridine in P2VP, researchers observed significant entrapment of the TDMASn and conversion to tin oxide with the more highly oxidizing hydrogen peroxide. The absence of the SIS reaction in PMMA with this precursor, in addition to the need for a stronger “B” component, highlights the need for compatibility across components along with the appropriate process temperature to achieve new SIS materials.

Within a given set of precursors and functional groups, advances in polymer synthesis open a wide variety of structural modifications to the polymer. Active and inactive groups can be combined in copolymers, in blocks, random, or gradient structures, to impose mesostructured or unstructured spacing between interactive groups. Novel polymer architectures may be able to control the mean distance between SIS nuclei in unanticipated ways. The duration of vapor exposure and the length of purge between coreactant exposures offer routes to control the distribution of the inorganic product throughout the sample. The temporal changes to the organic/inorganic film as the SIS process proceeds provides yet another axis upon which SIS can be manipulated. The first cycle introduces inorganic nuclei into the bulk, which present distinct reactive sites for subsequent cycles. The inclusion of this material also changes the free-volume pathways and the diffusivity of subsequent exposures. Thus, changing the first cycle determines how all other cycles progress. By changing the purge time in the first cycle, as an example, the density and depth of infiltration of the seeds can be changed. Finally, the co-reactant compatibility with the polymer template must be considered as an additional challenge. For example, transient and/or reactive coreactants including ozone and nearly all plasmas may not persist deep into thick polymer films or may alter the polymer backbone and/or functional groups.

Experimental characterization of SIS chemistry and physics is essential to develop a firm theoretical framework for the various phenomena at play in order to improve our intuition and predictive capacity while exploring this vast SIS variable space.2 Researchers have used a number of experimental techniques in concert to span the disparate length and time scales involved in SIS. Examples of pertinent data acquired: the depth and density gradient of an inorganic deposit from the film surface to substrate, the kinetics of vapor-phase diffusion through the polymers, the strength of intermolecular attractions and identity of participating polymer functional groups, the bonding between organic and inorganic components, and the structural evolution of the deposited phases across length scales. In situ methods are preferable in many cases as they capture the dynamics of SIS processes and conditions during half-cycles that are incompatible with ambient environments. However, ex situ techniques also provide valuable information for understanding the SIS process. These ex situ methods include spectroscopies, microscopies, and reciprocal-space scattering methods. Reciprocal-space methods probe structural correlations across a wide range of length scales, as summarized in Fig. 8. Some reciprocal-space techniques, which are most simply implemented ex situ, may also be conducted in dynamic in situ fashion with integrated experimental apparatus such as those described in Ref. 125. In this section, the most relevant SIS characterization techniques are described in the context of the types of information about SIS that they provide. Existing approaches that have yet to be substantially applied to SIS science may fill gaps remaining in our present understanding of SIS. We highlight the potential of several of these methods to motivate future SIS studies.

FIG. 8.

Real space and reciprocal space methods for characterizing SIS materials across length scales. X-rays, in particular, enable the interrogation of length scales ranging from device-scale mesostructure imposed by lithography or self-assembly to microstructure of the deposited metal oxides.

FIG. 8.

Real space and reciprocal space methods for characterizing SIS materials across length scales. X-rays, in particular, enable the interrogation of length scales ranging from device-scale mesostructure imposed by lithography or self-assembly to microstructure of the deposited metal oxides.

Close modal

1. Fourier transform infrared spectroscopy

Fourier transform infrared (FTIR) spectroscopy offers a unique window into the functional groups and interactions of chemical processes during SIS. FTIR spectroscopy can measure the characteristic infrared absorbance of polymer functional groups, residual solvents and impurities, SIS precursors, adducts, and byproducts as well as bulk modes of the resulting inorganic species. Commercial FTIR spectrometers may interface with commercial and custom SIS chambers and can operate either in the transmission mode or reflection mode. In the transmission mode, the polymer thin film must be cast on a relatively IR-transparent substrate, such as an undoped Si wafer. In the reflection mode, a relatively smooth and highly IR-reflective metallic surface such as an evaporated gold film on a Si wafer is ideal. In either case, reference to a bare substrate is preferred for complete calibration. Reference to bare substrates upon reactant exposure further enables straightforward identification of the IR absorbances of SIS precursors in the headspace during extended exposures. Some SIS/FTIR setups use gate valves that are shut during precursor exposures to prevent growth on the IR-transparent windows. However, this approach limits the temporal resolution of kinetic information and precludes the measurement of vapor-phase SIS precursors and byproducts. An alternative method to prevent growth on the windows is to purge the volume between the SIS substrate and the windows with an inert gas to create a diffusion barrier.

FTIR spectra are used to track identifiable absorption features that may appear, disappear, or shift during SIS processing. New absorption features indicate ligands associated with the vapor-phase or infiltrated precursor, inorganic incorporation, or byproduct formation. It is often convenient to plot the FTIR data as difference spectra by subtracting the previous spectrum (i.e., the spectrum recorded prior to the precursor exposure) from the current spectrum. In this way, negative features signal the consumption of species and positive features reveal the creation of new species. Permanent negative features may correspond to functional groups that are consumed in the course of irreversible chemical reactions between the precursor and polymer. Transient peak shifts and negative features (i.e., bleaches) may indicate reversible interactions between organometallic precursors and polymer functional groups.

One example of such a peak shift is the perturbation of the carbonyl in PMMA due to interaction with TMA.16,21–24,28Figure 9(a) shows this peak shift from 1729 cm−1 to 1670 cm−1, which is attributed to the Lewis acidic TMA drawing electron density away from double-bonded oxygen of the carbonyl. Both the intensity and magnitude of the peak shift reveal information on the SIS process. By tracking the intensity of the shifted peak over the course of precursor exposure, information on the kinetics of infiltration may be inferred.23 The ratio of absorbance of the shifted peak and the original peak measures the fraction of functional sites that participate in adduct formation. In sufficiently thin films and for sufficiently long exposures to ensure a homogeneous distribution of the precursor, this fraction provides insight into the equilibrium constants of the complex. If the density of functional groups in the polymer is known, then the density of complexed TMA can be further quantified. The change in wavenumber or magnitude of the peak shift provides some information into the strength of the complex formed between a functional group and the precursor. The stronger the complex, the more red-shifted the perturbed peak may be. Since the strength of the interaction between the precursor and polymer functional group plays a significant role in the diffusivity of the precursor through the film, the magnitude of peak shift can provide insight into optimal processing temperatures and exposure times. For example, in a recent comparative study of the group 13 metal alkyl precursors TMA, trimethyl indium (TMIn), and trimethyl gallium (TMGa), the C=O peak was ∼20 cm−1 further red-shifted during exposure to TMA vs TMIn or TMGa, suggesting a stronger adduction.16 Density functional theory simulation, discussed in more detail below, suggested that the enthalpy of TMA-MMA association was ∼3× more negative than the corresponding adduction with TMIn or TMGa. This was correlated with significantly slower diffusion kinetics for TMA into PMMA films as measured by the time-resolved PMMA C=O bleach and recovery upon purging.

FIG. 9.

Examples of in situ FTIR measurements of SIS processes. (a) FTIR spectra of (1) 60 nm film of PMMA, (2) after a 6 Torr exposure of TMA, and (3) after water exposure.24 The red-shifted C=O peak from 1729 cm−1 to 1670 cm−1 assigned to the formation of a complex with TMA appears after TMA dosing and reversal after dosing—this demonstrates how FTIR can be used to track the chemical environment throughout SIS. (b) Integrated FTIR peaks during sequential TMA exposure to a 150 nm polyethersulfone film and (c) over purging that film shows how the intensity of FTIR signatures over time can be used to track the kinetics of precursor transport through polymer films.58 

FIG. 9.

Examples of in situ FTIR measurements of SIS processes. (a) FTIR spectra of (1) 60 nm film of PMMA, (2) after a 6 Torr exposure of TMA, and (3) after water exposure.24 The red-shifted C=O peak from 1729 cm−1 to 1670 cm−1 assigned to the formation of a complex with TMA appears after TMA dosing and reversal after dosing—this demonstrates how FTIR can be used to track the chemical environment throughout SIS. (b) Integrated FTIR peaks during sequential TMA exposure to a 150 nm polyethersulfone film and (c) over purging that film shows how the intensity of FTIR signatures over time can be used to track the kinetics of precursor transport through polymer films.58 

Close modal

The same approach can be applied for new peaks that appear upon exposure, which correspond to precursor infiltration, such as the two associated with TMA in polyethersulfone films in Figs. 9(b) and 9(c). The integrated Al-CH3 rock and CH3 stretch belonging to TMA within the film reveal vastly quicker kinetics for infiltration than for purging,58 as is also the case in PMMA.23–25 Looking forward, detailed time-resolved comparisons of the kinetics of precursor peaks and shifted peaks due to precursor complexes may inform the complex balance of diffusing solvated precursor, complexed precursor, and irreversibly bonded precursor in a single experiment.

In situ FTIR can also be used to track irreversible chemical changes made to the infiltrated polymer matrix by the SIS precursors. If the precursor directly reacts with a functional group, the spectral features associated with that group would be significantly altered or disappear entirely. This appears to occur in the case of Al2O3 SIS into polyethylene oxide (PEO) (Fig. 10). When TMA is dosed, the ether signature at 1100 cm−1 disappears and does not recover after exposure to water.22 This suggests that TMA can cause polymer chain scission by breaking the C—O—C backbone of PEO.

FIG. 10.

FTIR of Al2O3 SIS in PEO thin films. A permanent loss of absorbance at 1100 cm−1 suggests that TMA directly causes polymer backbone chain scission at the C—O—C site.22 

FIG. 10.

FTIR of Al2O3 SIS in PEO thin films. A permanent loss of absorbance at 1100 cm−1 suggests that TMA directly causes polymer backbone chain scission at the C—O—C site.22 

Close modal

2. Spectroscopic ellipsometry

Spectroscopic ellipsometry (SE) is a nondestructive optical measurement by which changes in refractive index and film thickness film thickness (Ångstroms to hundreds of nanometers in range) can be deduced from fitting to an optical model. SE relies on wavelength-dependent changes in phase angle and intensity of circularly polarized light as it impinges on a sample. More detailed modeling can be performed if the measurement is repeated at multiple incident angles [i.e., variable angle SE (VASE)]. These data are compared to the simulated values derived from a model optical stack that includes the thickness, complex refractive index, and optionally the roughness and porosity of each layer in the stack. SE has been widely applied to ALD studies on planar solid samples and can be readily integrated into SIS reactors by means of optically transparent windows.126,127 As discussed above for FTIR, it can be convenient to isolate these windows using gate valves or an inert gas purge.

In situ SE enables evaluation of the hybrid film throughout the SIS process. In the example of Al2O3 SIS in the membrane-forming polymer polyethersulfone at 110 °C (Fig. 11), the kinetics of swelling/deswelling of the film during TMA exposure and purging (I and II) are observed. The film swells and the refractive index drops during exposure as TMA molecules diffuse into the polymer film. The inverse process happens during purging, though at the end of II, the film has still not recovered its initial thickness or refractive index. Figure 11 reveals that infiltration is much faster than deswelling. The film is exposed to TMA once again in III with a brief purge in IV. The water exposure in V shows a much more rapid change. The thickness drops slightly, but there is a substantial drop in refractive index, indicative of the rapid diffusivity and reactivity of water with TMA to form Al2O3. The decrease in refractive index upon H2O exposure is counterintuitive, given the relatively high refractive index of 1.65 for ALD Al2O3, but may relate to the formation of aluminum hydroxide, Al(OH)3, which has a lower refractive index of 1.57. Figure 12 highlights the role of purge time in the continuity of the metal oxide deposit upon polymer removal. As purge time increases, the density of TMA molecules in the film decreases upon the introduction of water. When the continuous PMMA phase is removed, the metal oxide shows an apparent porosity with increasing purge time.

FIG. 11.

In situ spectroscopic ellipsometry tracking of SIS of TMA in a PES film. I and II show the saturating exposure to TMA and purging of the pristine film, respectively. The thickness increases and the refractive index decreases over a much quicker time scale during infiltration than during purging. In III, the film is resaturated with TMA, and in IV, another purge is started with the same behavior. In V, the water exposure begins resulting in a relatively fast but modest drop in thickness and a significant decrease in refractive index due to the formation of Al2O3.58 

FIG. 11.

In situ spectroscopic ellipsometry tracking of SIS of TMA in a PES film. I and II show the saturating exposure to TMA and purging of the pristine film, respectively. The thickness increases and the refractive index decreases over a much quicker time scale during infiltration than during purging. In III, the film is resaturated with TMA, and in IV, another purge is started with the same behavior. In V, the water exposure begins resulting in a relatively fast but modest drop in thickness and a significant decrease in refractive index due to the formation of Al2O3.58 

Close modal
FIG. 12.

(a) In situ spectroscopic ellipsometry used to track changes in PMMA film thickness during TMA exposure, TMA purging, and water exposure. The thickness decreases with purge time as TMA leaves the film, showing the reversible nature of the complex. The water pulses, indicated by arrows, cause a quick drop in film thickness. [(b)–(d)] Top-down SEM images of annealed films showing how longer purge times decrease the density of the resulting Al2O3 following organic removal. At 500-s purge, the film is discontinuous and reveals the underlying substrate.27 

FIG. 12.

(a) In situ spectroscopic ellipsometry used to track changes in PMMA film thickness during TMA exposure, TMA purging, and water exposure. The thickness decreases with purge time as TMA leaves the film, showing the reversible nature of the complex. The water pulses, indicated by arrows, cause a quick drop in film thickness. [(b)–(d)] Top-down SEM images of annealed films showing how longer purge times decrease the density of the resulting Al2O3 following organic removal. At 500-s purge, the film is discontinuous and reveals the underlying substrate.27 

Close modal

In situ SE is a direct complement to in situ FTIR as they probe physical and chemical changes to the polymer film, respectively. Whereas in situ FTIR only tracks the evolution of solvated precursor that interacts with chemical functional groups, in situ SE may track the total solvated precursor content in the film including the precursor that is not interacting with functional groups. These two in situ techniques can be used together to provide a fuller picture of the kinetics of precursor transport and reactions with polymers.

3. Quartz crystal microgravimetry

Quartz crystal microgravimetry (QCM) can be used to infer the mass of a film affixed to an oscillating quartz crystal by measuring the change in resonant frequency of the crystal. These frequency measurements are sufficiently sensitive to detect the deposition of submonolayers during ALD. In SIS, QCM can be used to determine the kinetics of vapor infiltration and purging by measuring the mass of the affixed polymer film over time. Figure 13 displays QCM data recorded during repeated precursor pulses of a single TMA exposure into 50-nm PMMA films at different temperatures.25 The mass changes induced by these TMA pulses are shown in Fig. 13(b) and reveal that the mass gain per pulse is maximized at 100 °C. The increase in mass uptake between 70 °C and 100 °C can be attributed in part to the increase in solubility of TMA with increased temperature [Eq. (1)], along with the transition from a glassy state to a rubbery state. In other QCM experiments from the same paper (not shown), the mass loss after 85 min of purging at 70 °C was 60% from the exposure peak, whereas at 150 °C, the analogous mass loss was only 30%. While the 150 °C loss occurred over 15 min of purging, the mass loss from the 70 °C sample showed no sign of plateauing over the observed measurement. This is consistent with one-component irreversible incorporation of TMA in PMMA at high temperatures mentioned above. The long and slow purging of TMA at low temperatures is likewise consistent with the slow breaking of the reversible carbonyl/TMA complex as time-resolved through FTIR studies.23,24 QCM provides gravimetric evidence of physical changes to the film during SIS, similar to how SE provides optical evidence of such physical changes. As such, both techniques complement the chemical information derived from FTIR spectroscopy.

FIG. 13.

(a) Overall QCM mass response for 50-nm PMMA films exposed to 100 TMA doses at 70, 100, and 140 °C. (b) Magnified view of the mass response after 41–43 TMA doses.25 

FIG. 13.

(a) Overall QCM mass response for 50-nm PMMA films exposed to 100 TMA doses at 70, 100, and 140 °C. (b) Magnified view of the mass response after 41–43 TMA doses.25 

Close modal

4. Quadrupole mass spectrometry

Quadrupole mass spectroscopy (QMS) of product gases is a common method for identifying the chemical byproducts produced during ALD.128,129 To the best of our knowledge, QMS has only been used to study SIS processes in Ref. 45. The authors measured the methane generated by TMA SIS in polyurethane foams over successive cycles before water exposure and observed an increase in the methane product. This is consistent with the picture of methane generation after the first half-step occurring on existing —OH nuclei but not occurring through the reversible complex formed with polymer functional groups. QMS could be used to identify the product gases and resolve open questions in the chemical evolution of metal-organic precursors within polymer films. For example, a recent combined FTIR/ab initio density functional theory study showed that at sufficiently high temperatures (T > 150 °C), TMA in PMMA will react via a pericyclic reaction with the O of the carbonyl to directly form Al—O linkages and release ethane as a gaseous byproduct.28 In contrast, ALD reactions of TMA on surface hydroxyls are known to produce exclusively methane. QMS of the gases downstream of the reaction chamber could, in future studies, complement FTIR to confirm the existence of ethane and further validate the chemical mechanisms. QMS may also be used to determine which chemical processes take place in subsequent SIS cycles in which Al—O and potentially hydroxyls are present within the film. If ethane is confirmed to be a reaction product for direct incorporation at high temperature, the presence/absence of ethane in exposures after the first cycle will further reveal the chemical environment of reactions in mixed polymer/inorganic samples.

1. Cross-sectional electron microscopy and energy dispersive spectroscopy

Cross-sectional electron microscopy offers direct visualization of SIS samples from the substrate to surface. This technique involves fracturing a sample and mounting it orthogonally to the electron beam. SIS samples can be fractured by hand, but this may introduce artifacts to the cross-sectional surface due to plastic deformation of the sample. Submerging the sample in liquid nitrogen while fracturing can promote brittle fracture of the sample by being below its glass transition. Techniques such as focused ion beam (FIB) milling65 can achieve a cleaner surface, but these are time-consuming and require specialized equipment. Charging effects and electron beam damage further complicate the imaging of SIS samples, particularly before polymer removal.

Scanning electron microscopy (SEM) yields both topographic information of the cross-sectioned surface and atomic number contrast (Z-contrast). Both of these make direct visualization of inorganic material incorporation into the polymer matrix possible, as shown in Fig. 14. The Z-contrast can be enhanced using a backscattered electron detector. Multiple papers have reported the use of electron-beam based spectroscopy such as energy dispersive spectroscopy (EDS), which relies on elementally characteristic x-rays emitted following electron bombardment to spatially resolve the metal distribution in SIS thin films.26,80,81,130 These cross-sectional EDS measurements can be difficult to quantify as the interaction volume of the incident electrons can be hundreds of nanometers to micrometers, often larger than the film thickness itself. Truly quantitative cross-sectional EDS may be realized in transmission electron microscopy (TEM) with ultrathin samples prepared by microtome or FIB techniques, as the thin samples reduce the interaction volume enabling nanometer spatial resolution.

FIG. 14.

Cross-sectional SEM image of a 1.4-μm PMMA film with a single SIS cycle of 10-min TMA exposure. An EDS line-scan of Al signal is overlaid, showing that the infiltration is limited by low diffusivity under these process conditions.26 

FIG. 14.

Cross-sectional SEM image of a 1.4-μm PMMA film with a single SIS cycle of 10-min TMA exposure. An EDS line-scan of Al signal is overlaid, showing that the infiltration is limited by low diffusivity under these process conditions.26 

Close modal

2. Transmission electron microscope tomography

Top-surface metrology methods such SEM [and atomic force microscopy (AFM), discussed below] can only reveal two dimensions of the three-dimensional distribution of hybrid material that result from SIS. Extending to the third dimension via transmission electron microscope (TEM) tomography can reveal depth-dependent details about SIS. Tomography involves the acquisition of many TEM images of a thin film at different angles, followed by software reconstruction of those slices into a three-dimensional object. However, the sample must be prepared on a specialized TEM-transparent substrate such as a lithographically prepared silicon nitride membrane.131 In Fig. 15(a), the blue regions represent Al2O3-infiltrated PMMA domains in a lamella-forming PS-b-PMMA thin film. The boundary between the two domains is drawn at sharp changes in contrast. This method allows for the identification of BCP defects in the bulk of the film (i.e., below the film surface), such as the lamellar junction highlighted in Figs. 15(b)–15(d). In this example, the SIS Al2O3 acts as a contrast agent to improve image quality in TEM tomography for the identification of buried self-assembly defects. However, we envision that TEM tomography can be used in the future as a real-space imaging modality to measure, for example, density gradients that emerge from diffusion-limited infiltration in SIS.

FIG. 15.

(a) TEM tomographic reconstruction of a 1.5-μm-wide section of a phase-separated PS-b-PMMA thin film showing hybrid Al2O3/PMMA in blue and [(b)–(d)] selected z-slices where the Al2O3/PMMA is in light gray. The contrast afforded by the metal oxide reveals a defect where the composition at the center changes with the height. Such a structure would not be observed by simple top-down SEM. Scale bar is 200 nm.38 

FIG. 15.

(a) TEM tomographic reconstruction of a 1.5-μm-wide section of a phase-separated PS-b-PMMA thin film showing hybrid Al2O3/PMMA in blue and [(b)–(d)] selected z-slices where the Al2O3/PMMA is in light gray. The contrast afforded by the metal oxide reveals a defect where the composition at the center changes with the height. Such a structure would not be observed by simple top-down SEM. Scale bar is 200 nm.38 

Close modal

3. Atomic force microscopy

Atomic force microscopy (AFM) can reveal detailed topographic and nanomechanical information of SIS sample surfaces. This is of particular interest in the study of SIS in phase-separated block copolymers. In such systems, where SIS is restricted to one phase, the impact of inorganic material incorporation in confined domains relative to bulk homopolymers is of interest. In Fig. 16, AFM was used to assess the change in topography and Young’s modulus of PMMA cylinders constrained by a PS matrix. The researchers found an increase in modulus and in the variance of the modulus, with successive Al2O3 SIS cycles. The mechanical properties of the PS matrix were relatively unchanged.

FIG. 16.

Atomic force microscopy study of Al2O3 SIS in PS-b-PMMA thin films. (a) Topographic and Young’s modulus mapping of PS-b-PMMA films after 5, 8, and 11 SIS cycles, with stiffer PMMA domains in light green, and scale bar is 50 nm. (b) Modulus distribution of the pristine BCP sample with unit cell inset. (c) PMMA composite phase modulus with increasing SIS cycles, showing stiffening as more Al2O3 is infiltrated. The color scale of modulus maps (infiltrated samples) is nonlinear.15 

FIG. 16.

Atomic force microscopy study of Al2O3 SIS in PS-b-PMMA thin films. (a) Topographic and Young’s modulus mapping of PS-b-PMMA films after 5, 8, and 11 SIS cycles, with stiffer PMMA domains in light green, and scale bar is 50 nm. (b) Modulus distribution of the pristine BCP sample with unit cell inset. (c) PMMA composite phase modulus with increasing SIS cycles, showing stiffening as more Al2O3 is infiltrated. The color scale of modulus maps (infiltrated samples) is nonlinear.15 

Close modal

4. Time-of-flight secondary ion mass spectroscopy

Time-of-flight secondary ion mass spectroscopy (TOF-SIMS) can be used to analyze the composition of SIS samples. This technique involves the pulsed bombardment of the sample surface with an ion beam and the analysis of the ejected secondary ions of the sample by mass spectroscopy. By calibrating the etch depth of each ion bombardment, the concentration of the different materials within the sample can be determined throughout the thickness of the sample. This can yield information about the extent of the SIS modification and thus indirectly informs the diffusivity of the metal-organic precursor. An example of this technique applied to Al2O3 SIS in PMMA is shown in Fig. 17.

FIG. 17.

TOF-SIMS depth profiles of a 55-nm-thick PMMA film with a 10-SIS-cycle TMA/H2O SIS process.27 

FIG. 17.

TOF-SIMS depth profiles of a 55-nm-thick PMMA film with a 10-SIS-cycle TMA/H2O SIS process.27 

Close modal

5. X-ray photoelectron spectroscopy

X-ray photoelectron spectroscopy (XPS) is a technique that can quantitatively determine the composition and electronic and chemical states of atoms at the surface of a sample. XPS involves the irradiation of the sample with an x-ray source and the energy-resolved collection of electrons emitted by the sample. The energy of the emitted electrons reveals information about the chemical linkages and electronic structure, and their intensities reveal information on the elemental composition and ratios of different chemical bonds. When applied to SIS, XPS can reveal how the nucleation and growth of inorganic materials affect the polymeric chemical structure in the hybrid film. Figure 18 shows one such example. After annealing, XPS can be used to assess the degree of hydroxylation (—OH surface termini) as a function of SIS parameters and annealing conditions and can also determine how well carbon is removed by the annealing process. XPS can be used in conjunction with ion-beam etching to depth-profile SIS-processed samples. However, care must be taken in the analysis of XPS depth-profiling data as sputtering rates are element-specific and this can create artifacts.

FIG. 18.

Carbon 1s XPS spectra of a PBT film on a Si wafer (a) before and (b) after 1 Al2O3 SIS cycle. The C=O component of the C 1s XPS peak is completely removed by the SIS process, and a lower energy peak appears that is attributed to C—O—Al linkages.22 

FIG. 18.

Carbon 1s XPS spectra of a PBT film on a Si wafer (a) before and (b) after 1 Al2O3 SIS cycle. The C=O component of the C 1s XPS peak is completely removed by the SIS process, and a lower energy peak appears that is attributed to C—O—Al linkages.22 

Close modal

6. X-ray absorption fine structure analysis

While XPS can provide information on surface chemical linkages, X-ray absorption spectroscopy (XAS) techniques can provide information on the coordination environment of specific elements over the bulk SIS sample. XAS is usually performed in synchrotron facilities, as it involves tuning the X-ray energy over a specific atomic absorption edge, which allows core electrons to be excited. Typically, XAS analysis is broken down into two separate areas based on the energy range above the absorption threshold: X-ray absorption near edge structure (XANES) and extended X-ray absorption fine structure (EXAFS). These are collectively termed X-ray absorption fine structure (XAFS) analyses. For SIS of metal oxides, XAFS can directly probe the oxygen coordination environment of the infiltrated metal cation within the polymer itself.

One such example is shown in Fig. 19, where we compare the Zn-K EXAFS obtained from one SIS cycle of ZnO in thermoplastic polyurethane (TPU) using DEZ and H2O. In Fig. 19, we compare two processing conditions that only differ on the purge time between DEZ and H2O: a one-minute purge time leads to an EXAFS that is consistent with those of both the ALD ZnO and the ZnO powder references included in Fig. 19. In contrast, the six-minute purge time sample has a markedly different spectrum. The oscillations observed in Fig. 19 come from the impact that the chemical environment around Zn atoms has on the wave function of the free electron. If we carry out the Fourier transform, we obtain information on such coordination environment. While the fitting of the spectra is beyond the scope of this perspective, the comparison between the 1-min and 6-min purge times showed that the 1-min purge time yielded a ZnO product with coordination similar to the bulk wurtzite ZnO structure. In contrast, a 6-min purge time yielded substoichiometric contributions from the intermetal Zn—Zn linkage. In particular, the Zn—Zn signal of the second coordination sphere is suppressed, suggesting that the deposit is composed of small zinc clusters, rather than stoichiometric ZnO nanoparticles.

FIG. 19.

(a) k2-weighted scattering amplitude EXAFS and (b) Fourier transformed EXAFS spectra of the ZnO reference film (140 nm on Si), ZnO powder, and two samples of ZnO-infiltrated TPU samples using one cycle of DEZ/H2O. These samples differ only on the purge time between the DEZ and H2O pulses. The EXAFS reveals a markedly different coordination environment between the two samples, showcasing how through control of purge times, not only we can control the amount of infiltration but also the microstructure, and ultimately the resulting properties, of the material.

FIG. 19.

(a) k2-weighted scattering amplitude EXAFS and (b) Fourier transformed EXAFS spectra of the ZnO reference film (140 nm on Si), ZnO powder, and two samples of ZnO-infiltrated TPU samples using one cycle of DEZ/H2O. These samples differ only on the purge time between the DEZ and H2O pulses. The EXAFS reveals a markedly different coordination environment between the two samples, showcasing how through control of purge times, not only we can control the amount of infiltration but also the microstructure, and ultimately the resulting properties, of the material.

Close modal

Purge time is hypothesized to have such a dramatic effect on the zinc coordination environment through tuning the concentration of the DEZ precursor in the polymer. If water is introduced into the system after a brief purge, there is a relatively high concentration of DEZ in the polymer. The coexistence of the two components solvated in the polymer allows for a CVD-like reaction, generating nanoparticlelike ZnO with the stoichiometric wurtzite structure. As the purge time is increased, the density of solvated DEZ decreases due to diffusive loss to the gas phase and vacuum pump. While the change in Zn coordination may be caused by a simple decrease in connectivity of the clusters due to the lower density of DEZ, it could be that the retained DEZ is reversibly or covalently linked to the polymer chain, changing the chemistry that occurs upon reaction to water.

Prior studies on the coordination environment of the ZnO clusters infiltrated into polymer films have shown significant deviations in the second coordination sphere with respect to the expected wurtzite structure, indicating the formation of small clusters composed of at most a few Zn atoms. Moreover, this change in structure correlates with changes in the electronic properties of the inorganic phase as measured using photoluminescence.49 EXAFS thus enables to study the local electronic structure of metals/metal oxides within polymer networks and the important roles that SIS processing parameters can have on the structure and properties of materials.

7. Grazing incidence small angle X-ray scattering

Grazing incidence small angle x-ray scattering (GISAXS) allows for the identification of periodic or semiperiodic structures, such as the phase-separated morphologies of block copolymers, in thin films.132 In the context of SIS, GISAXS can be used to determine how confined polymer blocks—both SIS-amenable and SIS-inert—are changed by the introduction of inorganic materials. For example, in Fig. 20, GISAXS data from a symmetric lamella-forming PS-b-PMMA film are displayed in the pristine phase-separated state and after five cycles of Al2O3 SIS.14 In the pristine film, where the two blocks are perfectly symmetrical, reciprocal space peaks associated with a 27.6 nm pitch are observed. A Bragg rod predicted for this pitch at qy = 0.0556 is missing, which is expected, as it is forbidden by the symmetry of the block copolymer. After SIS, this missing peak appears due to the swelling of the PMMA phase by Al2O3 growth, which breaks the symmetry of the equally sized polymer blocks. The contrast of the scattered signal increases dramatically due to the greater Z-contrast provided by the selective Al2O3 deposition. The pitch of the block copolymer is unchanged, as evidenced by the lack of movement in the q-space features, which implies that some compression of the PS has occurred. Finally, diffuse scattering at higher q values appears, which is related to nanometer-scale Al2O3 domains that are introduced into the PMMA.

FIG. 20.

Static GISAXS spectra of a vertical-lamella-forming PS-b-PMMA thin film both before and after five cycles of Al2O3 SIS. The introduction of metal oxide nuclei selectively into the PMMA domain introduces higher Bragg rod contrast, symmetry breaking by PMMA swelling, and diffuse scattering from Al2O3 nanodomains within the PMMA.14 

FIG. 20.

Static GISAXS spectra of a vertical-lamella-forming PS-b-PMMA thin film both before and after five cycles of Al2O3 SIS. The introduction of metal oxide nuclei selectively into the PMMA domain introduces higher Bragg rod contrast, symmetry breaking by PMMA swelling, and diffuse scattering from Al2O3 nanodomains within the PMMA.14 

Close modal

From the two static GISAXS snapshots in this example, information was extracted about the selectivity of the SIS process, the deformation of the polymer after SIS, and the structure of the deposited hybrid material. GISAXS and other SAXS data have been published on a number of polymer-precursor pairings.36,54,57 At the time of writing, only examples of static ex situ GISAXS data have been published. However, it is, in principle, possible to interface a GISAXS experiment to an SIS chamber via x-ray transparent windows. With in situ GISAXS, the temporal resolution of a measurement would be limited only by the time needed to acquire sufficient signal for reliable data, along with considerations of damage prolonged x-ray beam exposure can have on samples. With high-intensity light sources, in situ GISAXS would enable dynamic measurements of the mesostructural evolution of block copolymers throughout the SIS process during precursor diffusion, purging, and inorganic material formation from one to many cycles.

8. Pair distribution function

Pair distribution function (PDF) analysis is another X-ray scattering technique that considers both the Bragg and diffuse contributions to X-ray scattering to extract information about the short-range and medium-range microstructure of materials even in the absence of long-range order.133 In contrast to X-ray absorption, which provides information on the coordination environment of a specific element, a PDF analysis of a high-energy X-ray diffraction pattern extracts the distribution of distances between any and all pairs of atoms in the sample. The analysis of low-Z materials such as polymers using this technique has been well established in the literature, with the peaks at low-r values reflecting the interatomic distances within monomers and the medium range providing information on the crystallinity of the polymer.134 Moreover, the combination of PDF with reverse Monte Carlo techniques provides a way of reconstructing the short- and medium-range order in such materials.135 

A key challenge for the direct application of PDF to SIS is the simultaneous presence of an inorganic phase embedded into the polymer film. While the acquisition of PDF data from such samples is straightforward, the challenge is how to uncouple contributions from both polymer and inorganic deposit during the PDF analysis. However, this problem is greatly simplified through the development of reaction chambers with in situ high-energy X-ray measurement capabilities.125In situ PDF would enable probing the impact of the dissolution of the SIS precursors on the polymer structure, including the dynamics of absorption and desorption during purge times. More importantly, it would facilitate the isolation of the contribution of the inorganic clusters from the polymer background. PDF analyses can conceivably inform how solvated precursors alter the polymeric microstructure and resolve the distribution of metal-oxygen distances within amorphous deposits.

Research into the fundamental processes governing SIS will increasingly require the complementary information that the discussed characterization techniques offer. Combining in situ FTIR with in situ ellipsometry and/or QCM gravimetry can bring together the dynamics of chemical processes with physical/diffusive processes in SIS. The combination of such techniques can allow researchers to further assess the role of temperature-dependent precursor-polymer interactions on transport. In the coming years, x-ray techniques will shed light on the precise microstructure of hybrid SIS materials within polymers. X-ray techniques will reveal how processing can determine microstructures, yielding novel functional materials. There are many more electrical, mechanical, and chemical characterization methods used to assess the functional properties of SIS materials that are not discussed here.

Density functional theory (DFT) is a powerful method, and relatively simple implementations for SIS systems can generate quantities that are useful in process development. In the simplest implementation, a single monomer and a metal-organic precursor are brought together and allowed to equilibrate. This type of simulation can predict whether a reversible complex will form between the polymer and metal-organic molecule. If a complex does form, then the precursor is likely a viable candidate for SIS. For a given polymer-precursor pair, the binding energy, equilibrium distance, and equilibrium constant, along with perturbations to the IR spectrum of the initial molecule, can all be extracted.16,25 The next degree of complexity is to describe the reaction coordinate–energy map of potential chemical reactions between the precursor and functional group, as in work discussed earlier by the Knez group.99 

A further step in complexity for DFT-modeling of SIS is introducing the second coreactant (H2O, O3, H2O2, etc.) to the reversible polymer/precursor complex and monitoring the possible reaction pathways and reaction coordinates that occur. Recently described SIS chemistries show growth at conditions that ALD/CVD does not occur. DFT investigations of the reaction in isolation, on a model Si surface, and in complex with a monomer may reveal reaction promotion or catalysis caused by the reversibly complexed configuration. Future DFT studies of SIS processes could include the interactions of multiple polymer functional groups with a single SIS metal precursor molecule or the interaction of more than one SIS metal precursor molecules on a single polymer functional group. Other simulation categories that, to the best of our knowledge, have not been employed in the context of SIS include molecular dynamics, reactive molecular dynamics, and multiscale modeling, which have potential as powerful tools to inform the holistic aspects of the SIS process across time and length scales.

Sequential infiltration synthesis has emerged as a technique to grow hybrid organic/inorganic components and templated inorganic nanostructures with implications across a wide range of research and application areas. Fundamental physical chemical research into the phenomena involved will enable a deeper understanding of this technique, and a wide range of experimental and simulation approaches are available to realize a more thorough framework for developing and analyzing SIS processes. Careful consideration of the thermodynamics and transport involved may open the SIS materials library beyond metals and metal oxides to include functional nitrides, sulfides, and other compounds.

In the coming years, researchers can focus on delineating between the different classes of precursor/polymer interactions that enable temporal colocation of reactants in the polymer at the exclusion of the vapor phase. We anticipate that as new functional materials, such as conductive oxides, catalytically active oxides, photoluminescent materials, and more, are integrated into the SIS materials library, the role of kinetic processing and precursor/polymer interactions may open avenues to tune the microstructure and functional properties of materials. Routes that we have identified for such research include comparing different precursor ligands with the same metal center and engineering of the local environment of interacting functional groups both sterically and chemically. Recent evidence from comparing trimethyl-metal systems reveals that polymer functional groups may act catalytically to enable reactions below the expected temperature limits. In this context, materials discovery by machine learning methods136 may prove useful in combinatorial screening of precursor-functional groups in silico.

This work was supported as part of the Advanced Materials for Energy-Water Systems (AMEWS) Center, an Energy Frontier Research Center funded by the U.S. Department of Energy, Office of Science, Basic Energy Sciences, under Contract No. DE-AC02-06CH11357. This research used, in part, resources of the Advanced Photon Source (Sector 9-8BM), an Office of Science User Facility operated for the U.S. Department of Energy (DOE) Office of Science by Argonne National Laboratory.

1.
Q.
Peng
,
Y.-C.
Tseng
,
S. B.
Darling
, and
J. W.
Elam
, “
Nanoscopic patterned materials with tunable dimensions via atomic layer deposition on block copolymers
,”
Adv. Mater.
22
,
5129
5133
(
2010
).
2.
C. Z.
Leng
and
M. D.
Losego
, “
Vapor phase infiltration (VPI) for transforming polymers into organic-inorganic hybrid materials: A critical review of current progress and future challenges
,”
Mater. Horiz.
4
,
747
771
(
2017
).
3.
A.
Sinha
,
D. W.
Hess
, and
C. L.
Henderson
, “
Area selective atomic layer deposition of titanium dioxide: Effect of precursor chemistry
,”
J. Vac. Sci. Technol., B: Microelectron. Nanometer Struct.–Process., Meas., Phenom.
24
,
2523
(
2006
).
4.
A.
Sinha
,
D. W.
Hess
, and
C. L.
Henderson
, “
Transport behavior of atomic layer deposition precursors through polymer masking layers: Influence on area selective atomic layer deposition
,”
J. Vac. Sci. Technol., B: Microelectron. Nanometer Struct.–Process., Meas., Phenom.
25
,
1721
1728
(
2007
).
5.
H. C.
Guo
,
E.
Ye
,
Z.
Li
,
M. Y.
Han
, and
X. J.
Loh
, “
Recent progress of atomic layer deposition on polymeric materials
,”
Mater. Sci. Eng. C
70
,
1182
1191
(
2017
).
6.
S. M.
George
 et al, “
Molecular layer deposition of hybrid organic-inorganic films
,” in
Atomic Layer Deposition of Nanostructured Materials
, edited by
N.
Pinna
and
M.
Knez
(
Wiley
,
2012
), pp.
83
107
.
7.
B.
Gong
 et al, “
Sequential vapor infiltration of metal oxides into sacrificial polyester fibers: Shape replication and controlled porosity of microporous/mesoporous oxide monoliths
,”
Chem. Mater.
23
,
3476
3485
(
2011
).
8.
C. Y.
Nam
,
A.
Stein
,
K.
Kisslinger
, and
C. T.
Black
, “
Electrical and structural properties of ZnO synthesized via infiltration of lithographically defined polymer templates
,”
Appl. Phys. Lett.
107
,
203106
(
2015
).
9.
A.
Subramanian
,
N.
Tiwale
, and
C. Y.
Nam
, “
Review of recent advances in applications of vapor-phase material infiltration based on atomic layer deposition
,”
JOM
71
,
185
196
(
2019
).
10.
I.
Azpitarte
and
M.
Knez
, “
Vapor phase infiltration: From a bioinspired process to technologic application, a prospective review
,”
MRS Commun.
8
,
727
741
(
2018
).
11.
W. F.
Ingram
and
J. S.
Jur
, “
Properties and applications of vapor infiltration into polymeric substrates
,”
JOM
71
,
238
245
(
2019
).
12.
Q.
Peng
,
Y.-C.
Tseng
,
S. B.
Darling
, and
J. W.
Elam
, “
A route to nanoscopic materials via sequential infiltration synthesis on block copolymer templates
,”
ACS Nano
5
,
4600
4606
(
2011
).
13.
I.
Weisbord
,
N.
Shomrat
,
H.
Moshe
,
A.
Sosnik
, and
T.
Segal-Peretz
, “
Nano spray-dried block copolymer nanoparticles and their transformation into hybrid and inorganic nanoparticles
,”
Adv. Funct. Mater.
(published online).
14.
J. W.
Elam
 et al, “
New insights into sequential infiltration synthesis
,”
ECS Trans.
69
,
147
157
(
2015
).
15.
M.
Lorenzoni
 et al, “
Sequential infiltration of self-assembled block copolymers: A study by atomic force microscopy
,”
J. Phys. Chem. C
121
,
3078
3086
(
2017
).
16.
R. Z.
Waldman
 et al, “
Sequential infiltration synthesis of electronic materials: Group 13 oxides via metal alkyl precursors
,”
Chem. Mater.
31
,
5274
5285
(
2019
).
17.
R.
Azoulay
,
N.
Shomrat
,
I.
Weisbord
,
G.
Atiya
, and
T.
Segal-Peretz
, “
Metal oxide heterostructure array via spatially controlled – growth within block copolymer templates
,”
Small
2019
,
1904657
.
18.
S. M.
Lee
 et al, “
In situ Raman spectroscopic study of Al-infiltrated spider dragline silk under tensile deformation
,”
ACS Appl. Mater. Interfaces
6
,
16827
16834
(
2014
).
19.
D. H.
Yi
,
C.-Y.
Nam
,
G.
Doerk
,
C. T.
Black
, and
R. B.
Grubbs
, “
Infiltration synthesis of diverse metal oxide nanostructures from epoxidized diene–styrene block copolymer templates
,”
ACS Appl. Polym. Mater.
1
,
672
683
(
2019
).
20.
R. P.
Padbury
and
J. S.
Jur
, “
Effect of polymer microstructure on the nucleation behavior of alumina via atomic layer deposition
,”
J. Phys. Chem. C
118
,
18805
18813
(
2014
).
21.
G. N.
Parsons
 et al, “
Mechanisms and reactions during atomic layer deposition on polymers
,”
Coord. Chem. Rev.
257
,
3323
3331
(
2013
).
22.
B.
Gong
and
G. N.
Parsons
, “
Quantitative in situ infrared analysis of reactions between trimethylaluminum and polymers during Al2O3 atomic layer deposition
,”
J. Mater. Chem.
22
,
15672
15682
(
2012
).
23.
M.
Biswas
,
J. A.
Libera
,
S. B.
Darling
, and
J. W.
Elam
, “
Kinetics for the sequential infiltration synthesis of alumina in poly(methyl methacrylate): An infrared spectroscopic study
,”
J. Phys. Chem. C
119
,
14585
14592
(
2015
).
24.
M.
Biswas
,
J. A.
Libera
,
S. B.
Darling
, and
J. W.
Elam
, “
New insight into the mechanism of sequential infiltration synthesis from infrared spectroscopy
,”
Chem. Mater.
26
,
6135
6141
(
2014
).
25.
E. C.
Dandley
 et al, “
Temperature-dependent reaction between trimethylaluminum and poly(methyl methacrylate) during sequential vapor infiltration: Experimental and ab initio analysis
,”
J. Mater. Chem. C
2
,
9416
9424
(
2014
).
26.
C. Z.
Leng
and
M. D.
Losego
, “
A physiochemical processing kinetics model for the vapor phase infiltration of polymers: Measuring the energetics of precursor-polymer sorption, diffusion, and reaction
,”
Phys. Chem. Chem. Phys.
20
,
21506
21514
(
2018
).
27.
E.
Cianci
,
D.
Nazzari
,
G.
Seguini
, and
M.
Perego
, “
Trimethylaluminum diffusion in PMMA thin films during sequential infiltration synthesis: In situ dynamic spectroscopic ellipsometric investigation
,”
Adv. Mater. Interfaces
5
,
1801016
(
2018
).
28.
G. T.
Hill
 et al, “
Insight on the sequential vapor infiltration mechanisms of trimethylaluminum with poly(methyl methacrylate), poly(vinylpyrrolidone), and poly(acrylic acid)
,”
J. Phys. Chem. C
123
,
16146
16152
(
2019
).
29.
F. E.
Caligiore
 et al, “
Effect of the density of reactive sites in P(S-r-MMA) film during Al2O3 growth by sequential infiltration synthesis
,”
Adv. Mater. Interfaces
6
,
1900503
(
2019
).
30.
J.
Frascaroli
,
E.
Cianci
,
S.
Spiga
,
G.
Seguini
, and
M.
Perego
, “
Ozone-based sequential infiltration synthesis of Al2O3 nanostructures in symmetric block copolymer
,”
ACS Appl. Mater. Interfaces
8
,
33933
33942
(
2016
).
31.
Y.
She
 et al, “
Rapid synthesis of nanoporous conformal coatings via plasma-enhanced sequential infiltration of a polymer template
,”
ACS Omega
2
,
7812
7819
(
2017
).
32.
O. M.
Ishchenko
 et al, “
Investigating sequential vapor infiltration synthesis on block-copolymer-templated titania nanoarrays
,”
J. Phys. Chem. C
120
,
7067
7076
(
2016
).
33.
J.
Yin
,
Q.
Xu
,
Z.
Wang
,
X.
Yao
, and
Y.
Wang
, “
Highly ordered TiO2 nanostructures by sequential vapour infiltration of block copolymer micellar films in an atomic layer deposition reactor
,”
J. Mater. Chem. C
1
,
1029
1036
(
2013
).
34.
Q.
Peng
 et al, “
Effect of nanostructured domains in self-assembled block copolymer films on sequential infiltration synthesis
,”
Langmuir
33
,
13214
13223
(
2017
).
35.
X.
Ye
 et al, “
Effects of residual solvent molecules facilitating the infiltration synthesis of ZnO in a nonreactive polymer
,”
Chem. Mater.
29
,
4535
4545
(
2017
).
36.
J. J.
Kim
 et al, “
Mechanistic understanding of tungsten oxide in-plane nanostructure growth: Via sequential infiltration synthesis
,”
Nanoscale
10
,
3469
3479
(
2018
).
37.
B. K.
Barick
,
A.
Simon
,
I.
Weisbord
,
N.
Shomrat
, and
T.
Segal-Peretz
, “
Tin oxide nanostructure fabrication via sequential infiltration synthesis in block copolymer thin films
,”
J. Colloid Interface Sci.
557
,
537
545
(
2019
).
38.
T.
Segal-Peretz
 et al, “
Characterizing the three-dimensional structure of block copolymers via sequential infiltration synthesis and scanning transmission electron
,”
ACS Nano
9
,
5333
5347
(
2015
).
39.
C.
Zhou
 et al, “
Studying the effects of chemistry and geometry on DSA hole-shrink process in three-dimensions
,”
J. Micro/Nanolithogr., MEMS, MOEMS
17
,
031203-1
(
2018
).
40.
S.
Obuchovsky
,
M.
Levin
,
A.
Levitsky
, and
G. L.
Frey
, “
Morphology visualization of P3HT:Fullerene blends by using subsurface atomic layer deposition
,”
Org. Electron.
49
,
234
241
(
2017
).
41.
Y.
She
 et al, “
Block-co-polymer-assisted synthesis of all inorganic highly porous heterostructures with highly accessible thermally stable functional centers
,”
ACS Appl. Mater. Interfaces
11
,
30154
30162
(
2019
).
42.
B.
Gong
,
D. H.
Kim
, and
G. N.
Parsons
, “
Mesoporous metal oxides by vapor infiltration and atomic layer deposition on ordered surfactant polymer films
,”
Langmuir
28
,
11906
11913
(
2012
).
43.
Y.
Yu
,
Z.
Li
,
Y.
Wang
,
S.
Gong
, and
X.
Wang
, “
Sequential infiltration synthesis of doped polymer films with tunable electrical properties for efficient triboelectric nanogenerator development
,”
Adv. Mater.
27
,
4938
4944
(
2015
).
44.
E.
Barry
 et al, “
Mitigating oil spills in the water column
,”
Environ. Sci. Water Res. Technol.
4
,
40
47
(
2018
).
45.
E.
Barry
,
A. U.
Mane
,
J. A.
Libera
,
J. W.
Elam
, and
S. B.
Darling
, “
Advanced oil sorbents using sequential infiltration synthesis
,”
J. Mater. Chem. A
5
,
2929
2935
(
2017
).
46.
C. Y.
Nam
and
A.
Stein
, “
Extreme carrier depletion and superlinear photoconductivity in ultrathin parallel-aligned ZnO nanowire array photodetectors fabricated by infiltration synthesis
,”
Adv. Opt. Mater.
5
,
1700807
(
2017
).
47.
L. E.
Ocola
,
Y.
Wang
,
R.
Divan
, and
J.
Chen
, “
Multifunctional UV and gas sensors based on vertically nanostructured zinc oxide: Volume versus surface effect
,”
Sensors
19
,
2061
(
2019
).
48.
H. I.
Akyildiz
,
K. L.
Stano
,
A. T.
Roberts
,
H. O.
Everitt
, and
J. S.
Jur
, “
Photoluminescence mechanism and photocatalytic activity of organic-inorganic hybrid materials formed by sequential vapor infiltration
,”
Langmuir
32
,
4289
4296
(
2016
).
49.
L. E.
Ocola
,
A.
Connolly
,
D. J.
Gosztola
,
R. D.
Schaller
, and
A.
Yanguas-Gil
, “
Infiltrated zinc oxide in poly(methyl methacrylate): An atomic cycle growth study
,”
J. Phys. Chem. C
121
,
1893
1903
(
2017
).
50.
J. E.
Allen
 et al, “
Self-assembly of single dielectric nanoparticle layers and integration in polymer-based solar cells
,”
Appl. Phys. Lett.
101
,
063105
(
2012
).
51.
M.
Moshonov
and
G. L.
Frey
, “
Directing hybrid structures by combining self-assembly of functional block copolymers and atomic layer deposition: A demonstration on hybrid photovoltaics
,”
Langmuir
31
,
12762
12769
(
2015
).
52.
S.
Obuchovsky
 et al, “
Atomic layer deposition of zinc oxide onto and into P3HT for hybrid photovoltaics
,”
J. Mater. Chem. C
2
,
8903
8910
(
2014
).
53.
S.
Obuchovsky
 et al, “
Mechanism of metal oxide deposition from atomic layer deposition inside nonreactive polymer matrices: Effects of polymer crystallinity and temperature
,”
Chem. Mater.
28
,
2668
2676
(
2016
).
54.
D.
Berman
 et al, “
Sequential infiltration synthesis for the design of low refractive index surface coatings with controllable thickness
,”
ACS Nano
11
,
2521
2530
(
2017
).
55.
A.
Rahman
 et al, “
Sub-50-nm self-assembled nanotextures for enhanced broadband antireflection in silicon solar cells
,”
Nat. Commun.
6
,
5963
(
2015
).
56.
W.
Xie
,
S.
Khan
,
O. J.
Rojas
, and
G. N.
Parsons
, “
Control of micro- and mesopores in carbon nanofibers and hollow carbon nanofibers derived from cellulose diacetate via vapor phase infiltration of diethyl zinc
,”
ACS Sustainable Chem. Eng.
6
,
13844
13853
(
2018
).
57.
C.
Zhou
 et al, “
Fabrication of nanoporous alumina ultrafiltration membrane with tunable pore size using block copolymer templates
,”
Adv. Funct. Mater.
27
,
1701756
(
2017
).
58.
R. Z.
Waldman
 et al, “
Sequential infiltration synthesis of Al2O3 in polyethersulfone membranes
,”
JOM
71
,
212
223
(
2019
).
59.
E. K.
McGuinness
,
F.
Zhang
,
Y.
Ma
,
R. P.
Lively
, and
M. D.
Losego
, “
Vapor phase infiltration of metal oxides into nanoporous polymers for organic solvent separation membranes
,”
Chem. Mater.
31
,
5509
(
2019
).
60.
I.
Azpitarte
 et al, “
Suppressing the thermal and ultraviolet sensitivity of Kevlar by infiltration and hybridization with ZnO
,”
Chem. Mater.
29
,
10068
10074
(
2017
).
61.
W.
Wang
 et al, “
Efficient and controllable vapor to solid doping of the polythiophene P3HT by low temperature vapor phase infiltration
,”
J. Mater. Chem. C
5
,
2686
2694
(
2017
).
62.
W.
Wang
 et al, “
Tuning the conductivity of polyaniline through doping by means of single precursor vapor phase infiltration
,”
Adv. Mater. Interfaces
4
,
1600806
(
2017
).
63.
W.
Wang
 et al, “
Conductive polymer-inorganic hybrid materials through synergistic mutual doping of the constituents
,”
ACS Appl. Mater. Interfaces
9
,
27964
27971
(
2017
).
64.
A.
Subramanian
 et al, “
Three-dimensional electroactive ZnO nanomesh directly derived from hierarchically self-assembled block copolymer thin films
,”
Nanoscale
11
,
9533
9546
(
2019
).
65.
Y.
Sun
 et al, “
Influence of subsurface hybrid material growth on the mechanical properties of atomic layer deposited thin films on polymers
,”
Chem. Vap. Deposition
19
,
134
141
(
2013
).
66.
C. D.
McClure
,
C. J.
Oldham
, and
G. N.
Parsons
, “
Effect of Al2O3 ALD coating and vapor infusion on the bulk mechanical response of elastic and viscoelastic polymers
,”
Surf. Coat. Technol.
261
,
411
417
(
2015
).
67.
K. J.
Dusoe
 et al, “
Ultrahigh elastic strain energy storage in metal-oxide-infiltrated patterned hybrid polymer nanocomposites
,”
Nano Lett.
17
,
7416
7423
(
2017
).
68.
S.-M.
Lee
 et al, “
Greatly increased toughness of infiltrated spider silk
,”
Science
324
,
488
492
(
2009
).
69.
S.
Dwarakanath
 et al, “
Infusing inorganics into the subsurface of polymer redistribution layer dielectrics for improved adhesion to metals interconnects
,” in
2017 IEEE 67th Electronic Components and Technology Conference (ECTC)
(
IEEE
,
2017
), pp.
150
155
.
70.
S. M.
Lee
 et al, “
Improved mechanical stability of dried collagen membrane after metal infiltration
,”
ACS Appl. Mater. Interfaces
2
,
2436
2441
(
2010
).
71.
K. E.
Gregorczyk
 et al, “
Tuning the tensile strength of cellulose through vapor-phase metalation
,”
Chem. Mater.
27
,
181
188
(
2015
).
72.
S. M.
Lee
 et al, “
An alternative route towards metal-polymer hybrid materials prepared by vapor-phase processing
,”
Adv. Funct. Mater.
21
,
3047
3055
(
2011
).
73.
Y.-C.
Tseng
,
A. U.
Mane
,
J. W.
Elam
, and
S. B.
Darling
, “
Enhanced lithographic imaging layer meets semiconductor manufacturing specification a decade early
,”
Adv. Mater.
24
,
2608
2613
(
2012
).
74.
S.
Greil
,
A.
Rahman
,
M.
Liu
, and
C. T.
Black
, “
Gas transport selectivity of ultrathin, nanoporous, inorganic membranes made from block copolymer templates
,”
Chem. Mater.
29
,
9572
9578
(
2017
).
75.
R.
Ruiz
 et al, “
Image quality and pattern transfer in directed self assembly with block-selective atomic layer deposition
,”
J. Vac. Sci. Technol., B: Nanotechnol. Microelectron.: Mater., Process., Meas., Phenom.
30
,
06F202
(
2012
).
76.
A.
Rahman
,
P. W.
Majewski
,
G.
Doerk
,
C. T.
Black
, and
K. G.
Yager
, “
Non-native three-dimensional block copolymer morphologies
,”
Nat. Commun.
7
,
13988
(
2016
).
77.
S.
Dallorto
,
D.
Staaks
, and
A.
Schwartzberg
, “
Atomic layer deposition for spacer defined double patterning of sub-10 nm titanium dioxide features
,”
Nanotechnology
29
,
405302
(
2018
).
78.
D. F.
Sunday
 et al, “
Template-polymer commensurability and directed self-assembly block copolymer lithography
,”
J. Polym. Sci., Part B: Polym. Phys.
53
,
595
603
(
2015
).
79.
G. S.
Doerk
 et al, “
Transfer of self-aligned spacer patterns for single-digit nanofabrication
,”
Nanotechnology
26
,
085304
(
2015
).
80.
Y.
Ozaki
,
S.
Ito
,
N.
Hiroshiba
,
T.
Nakamura
, and
M.
Nakagawa
, “
Elemental depth profiles and plasma etching rates of positive-tone electron beam resists after sequential infiltration synthesis of alumina
,”
Jpn. J. Appl. Phys., Part 1
57
,
06HG01
(
2018
).
81.
Y.-C.
Tseng
 et al, “
Enhanced polymeric lithography resists via sequential infiltration synthesis
,”
J. Mater. Chem.
21
,
11722
(
2011
).
82.
E. C.
Dandley
 et al, “
Wafer-scale selective-area deposition of nanoscale metal oxide features using vapor saturation into patterned poly(methyl methacrylate) templates
,”
Adv. Mater. Interfaces
4
,
1
9
(
2016
).
83.
N.
Tiwale
 et al, “
Advancing the next generation nanolithography with infiltration synthesis of hybrid nanocomposite resists
,”
J. Mater. Chem. C
7
,
8803
8812
(
2019
).
84.
J. W.
Choi
 et al, “
Patterning at the 10 nanometer length scale using a strongly segregating block copolymer thin film and vapor phase infiltration of inorganic precursors
,”
Nanoscale
8
,
11595
11601
(
2016
).
85.
C. Y.
Nam
,
A.
Stein
, and
K.
Kisslinger
, “
Direct fabrication of high aspect-ratio metal oxide nanopatterns via sequential infiltration synthesis in lithographically defined SU-8 templates
,”
J. Vac. Sci. Technol., B: Nanotechnol. Microelectron.: Mater., Process., Meas., Phenom.
33
,
06F201
(
2015
).
86.
M.
Baryshnikova
 et al, “
Sequential infiltration synthesis for line edge roughness mitigation of EUV resist
,”
J. Photopolym. Sci. Technol.
30
,
667
670
(
2018
).
87.
J. F.
de Marneffe
 et al, “
Conversion of a patterned organic resist into a high performance inorganic hard mask for high resolution pattern transfer
,”
ACS Nano
12
,
11152
(
2018
).
88.
S.
Xiong
 et al, “
Directed self-assembly of high-chi block copolymer for nano fabrication of bit patterned media via solvent annealing
,”
Nanotechnology
27
,
415601
(
2016
).
89.
S.
Xiong
 et al, “
Directed self-assembly of triblock copolymer on chemical patterns for sub-10-nm nanofabrication via solvent annealing
,”
ACS Nano
10
,
7855
7865
(
2016
).
90.
W. R.
Vieth
,
J. M.
Howell
, and
J. H.
Hsieh
, “
Dual sorption theory
,”
J. Membr. Sci.
1
,
177
220
(
1976
).
91.
C. A.
Wilson
,
R. K.
Grubbs
, and
S. M.
George
, “
Nucleation and growth during Al2O3 atomic layer deposition on polymers
,”
Chem. Mater.
17
,
5625
5634
(
2005
).
92.
Q.
Xu
 et al, “
Hydrophilization of porous polypropylene membranes by atomic layer deposition of TiO2 for simultaneously improved permeability and selectivity
,”
J. Membr. Sci.
448
,
215
222
(
2013
).
93.
M.
Gebhard
 et al, “
PEALD of SiO2 and Al2O3 thin films on polypropylene: Investigations of the film growth at the interface, stress and gas barrier properties of dyads
,”
ACS Appl. Mater. Interfaces
10
,
7422
(
2018
).
94.
E.
Steven Brandt
and
J. M.
Grace
, “
Initiation of atomic layer deposition of metal oxides on polymer substrates by water plasma pretreatment
,”
J. Vac. Sci. Technol., A
30
,
01A137
(
2012
).
95.
J.
Kamcev
 et al, “
Chemically enhancing block copolymers for block-selective synthesis of self-assembled metal oxide nanostructures
,”
ACS Nano
7
,
339
346
(
2013
).
96.
S. D.
Elliott
and
J. C.
Greer
, “
Simulating the atomic layer deposition of alumina from first principles
,”
J. Mater. Chem.
14
,
3246
3250
(
2004
).
97.
Y.
Widjaja
and
C. B.
Musgrave
, “
Quantum chemical study of the mechanism of aluminum oxide atomic layer deposition
,”
Appl. Phys. Lett.
80
,
3304
3306
(
2002
).
98.
D. J.
Lee
,
J. Y.
Kwon
,
J. I.
Lee
, and
K. B.
Kim
, “
Self-limiting film growth of transparent conducting In2O3 by atomic layer deposition using trimethylindium and water vapor
,”
J. Phys. Chem. C
115
,
15384
15389
(
2011
).
99.
F.
Yang
 et al, “
Reversible and irreversible reactions of trimethylaluminum with common organic functional groups as a model for molecular layer deposition and vapor phase infiltration
,”
Adv. Mater. Interfaces
4
,
1700237
(
2017
).
100.
C. A.
Wilson
 et al, “
Tungsten atomic layer deposition on polymers
,”
Thin Solid Films
516
,
6175
6185
(
2008
).
101.
M.
N’Diaye
,
F.
Pascaretti-Grizon
,
P.
Massin
,
M. F.
Baslé
, and
D.
Chappard
, “
Water absorption of poly(methyl methacrylate) measured by vertical interference microscopy
,”
Langmuir
28
,
11609
11614
(
2012
).
102.
M.
Puttaswamy
,
K. B.
Haugshøj
,
L.
Højslet Christensen
, and
P.
Kingshott
, “
Molecular mechanisms of aluminum oxide thin film growth on polystyrene during atomic layer deposition
,”
Chem. - Eur. J.
16
,
13925
13929
(
2010
).
103.
S. C.
George
and
S.
Thomas
, “
Transport phenomena through polymeric systems
,”
Prog. Polym. Sci.
26
,
985
1017
(
2001
).
104.
T.
Banerjee
and
G. G.
Lipscomb
, “
Mixed gas sorption in elastic solids
,”
J. Membr. Sci.
96
,
241
258
(
1994
).
105.
A. R.
Berens
and
H. B.
Hopfenberg
, “
Diffusion of organic vapors at low concentrations in glassy PVC, polystyrene, and PMMA
,”
J. Membr. Sci.
10
,
283
303
(
1982
).
106.
P.
Pandey
and
R. S.
Chauhan
, “
Membranes for gas separation
,”
Prog. Polym. Sci.
26
,
853
893
(
2001
).
107.
A.
Yanguas-Gil
and
J. W.
Elam
, “
Self-limited reaction-diffusion in nanostructured substrates: Surface coverage dynamics and analytic approximations to ALD saturation times
,”
Chem. Vap. Deposition
18
,
46
52
(
2012
).
108.
A.
Yanguas-Gil
,
Growth and Transport in Nanostructured Materials
(
Springer
,
2017
).
109.
D. K.
Yang
,
W. J.
Koros
,
H. B.
Hopfenberg
, and
V. T.
Stannett
, “
Sorption and transport studies of water in Kapton polymide. I
,”
J. Appl. Polym. Sci.
30
,
1035
1047
(
1985
).
110.
V. T.
Stannett
,
G. R.
Ranade
, and
W. J.
Koros
, “
Characterization of water vapor transport in glassy polyacrylonitrile by combined permeation and sorption techniques
,”
J. Membr. Sci.
10
,
219
233
(
1982
).
111.
T. A.
Barbari
, “
Dual-mode free volume model for diffusion of gas molecules in glassy polymers
,”
J. Polym. Sci., Part B: Polym. Phys.
35
,
1737
1746
(
1997
).
112.
W.-Y.
Wen
, “
Motion of sorbed gases in polymers
,”
Chem. Soc. Rev.
22
,
117
126
(
1993
).
113.
C.
Ohrt
 et al, “
Free volume profiles at polymer-solid interfaces probed by focused slow positron beam
,”
Macromolecules
48
,
1493
1498
(
2015
).
114.
J. L.
Keddie
,
R. A. L.
Jones
, and
R. A.
Cory
, “
Interface and surface effects on the glass-transition temperature in thin polymer films
,”
Faraday Discuss.
98
,
219
230
(
1994
).
115.
J.
Algers
,
R.
Suzuki
,
T.
Ohdaira
, and
F. H. J.
Maurer
, “
Free volume and density gradients of amorphous polymer surfaces as determined by use of a pulsed low-energy positron lifetime beam and PVT data
,”
Macromolecules
37
,
4201
4210
(
2004
).
116.
S.
Rogers
and
L.
Mandelkern
, “
Glass transitions of the poly-(n-alkyl methacrylates)
,”
J. Phys. Chem.
61
,
985
991
(
1957
).
117.
E. V.
Thompson
, “
Dependence of the glass transition temperature of poly(methyl methacrylate) on tacticity and molecular weight
,”
J. Polym. Sci., Part A-2
4
,
199
208
(
1966
).
118.
T. G.
Fox
and
P. J.
Flory
, “
Second-order transition temperatures and related properties of polystyrene. I. Influence of molecular weight
,”
J. Appl. Phys.
21
,
581
591
(
1950
).
119.
D. J. H.
Emslie
,
P.
Chadha
, and
J. S.
Price
, “
Metal ALD and pulsed CVD: Fundamental reactions and links with solution chemistry
,”
Coord. Chem. Rev.
257
,
3282
3296
(
2013
).
120.
W. M. M.
Kessels
, “
Overview of all materials prepared by atomic layer deposition (ALD)
,” in
Atomic Limits
(
AtomicLimits
,
2019
), available at https://www.atomiclimits.com/2019/01/28/overview-of-all-materials-prepared-by-atomic-layer-deposition-ald-an-up-to-date-and-colorful-periodic-table-to-download/.
121.
J.
Hämäläinen
,
T.
Sajavaara
,
E.
Puukilainen
,
M.
Ritala
, and
M.
Leskelä
, “
Atomic layer deposition of osmium
,”
Chem. Mater.
24
,
55
60
(
2012
).
122.
T.
Aaltonen
,
M.
Ritala
,
T.
Sajavaara
,
J.
Keinonen
, and
M.
Leskelä
, “
Atomic layer deposition of platinum thin films
,”
Chem. Mater.
15
,
1924
1928
(
2003
).
123.
A. J. M.
MacKus
,
D.
Garcia-Alonso
,
H. C. M.
Knoops
,
A. A.
Bol
, and
W. M. M.
Kessels
, “
Room-temperature atomic layer deposition of platinum
,”
Chem. Mater.
25
,
1769
1774
(
2013
).
124.
Y.
Lee
,
H.
Sun
,
M. J.
Young
, and
S. M.
George
, “
Atomic layer deposition of metal fluorides using HF-pyridine as the fluorine precursor
,”
Chem. Mater.
28
,
2022
2032
(
2016
).
125.
J. A.
Klug
 et al, “
A modular reactor design for in situ synchrotron x-ray investigation of atomic layer deposition processes
,”
Rev. Sci. Instrum.
86
,
113901
(
2015
).
126.
E.
Langereis
 et al, “
In situ spectroscopic ellipsometry as a versatile tool for studying atomic layer deposition
,”
J. Phys. D: Appl. Phys.
42
,
073001
(
2009
).
127.
E.
Cianci
 et al, “
Phase stabilization of Al:HfO2 grown on InxGa1−xAs substrates (x = 0, 0.15, 0.53) via trimethylaluminum-based atomic layer deposition
,”
ACS Appl. Mater. Interfaces
6
,
3455
3461
(
2014
).
128.
A.
Rahtu
,
T.
Alaranta
, and
M.
Ritala
, “
In situ quartz crystal microbalance and quadrupole mass spectrometry studies of atomic layer deposition of aluminum oxide from trimethylaluminum and water
,”
Langmuir
17
,
6506
6509
(
2001
).
129.
L.
Henn-Lecordier
,
W.
Lei
,
M.
Anderle
, and
G. W.
Rubloff
, “
Real-time sensing and metrology for atomic layer deposition processes
,”
J. Vac. Sci. Technol., B: Nanotechnol. Microelectron.: Mater., Process., Meas., Phenom.
25
,
130
139
(
2007
).
130.
Y.-C.
Tseng
,
Q.
Peng
,
L. E.
Ocola
,
J. W.
Elam
, and
S. B.
Darling
, “
Enhanced block copolymer lithography using sequential infiltration synthesis
,”
J. Phys. Chem. C
115
,
17725
17729
(
2011
).
131.
J.
Ren
 et al, “
Post-directed-self-assembly membrane fabrication for in situ analysis of block copolymer structures
,”
Nanotechnology
27
,
435303
(
2016
).
132.
D.
Smilgies
,
P.
Busch
,
C. M.
Papadakis
, and
D.
Posselt
, “
Characterization of polymer thin films with small-angle x-ray scattering under grazing incidence (GISAXS)
,”
Synchrotron Radiat. News
15
,
35
42
(
2002
).
133.
T.
Proffen
,
S. J. L.
Billinge
,
T.
Egami
, and
D.
Louca
, “
Structural analysis of complex materials using the atomic pair distribution function—A practical guide
,”
Z. Kristallogr. - Cryst. Mater.
218
,
132
143
(
2003
).
134.
V.
Petkov
,
Y.
Ren
,
S.
Kabekkodu
, and
D.
Murphy
, “
Atomic pair distribution functions analysis of disordered low-Z materials
,”
Phys. Chem. Chem. Phys.
15
,
8544
8554
(
2013
).
135.
S.
Letourneau
 et al, “
Structural evolution of molybdenum disulfide prepared by atomic layer deposition for realization of large scale films in microelectronic applications
,”
ACS Appl. Nano Mater.
1
,
4028
4037
(
2018
).
136.
Y.
Liu
,
T.
Zhao
,
W.
Ju
, and
S.
Shi
, “
Materials discovery and design using machine learning
,”
J. Mater.
3
,
159
177
(
2017
).
137.
R. L.
Puurunen
, “
Surface chemistry of atomic layer deposition: A case study for the trimethylaluminum/water process
,”
J. Appl. Phys.
97
,
12301
(
2005
).