The dimensional scaling of through silicon vias (TSVs) is critical for the advancement of high-density 3D integration in future logic-on-logic and logic-on-memory computing architectures. Realizing such scaling demands an understanding of the thermomechanical response at the relevant length scales as both the microstructure and properties of the copper making up the majority of the TSV are dependent upon the size. In response, we examine here the residual stress development of the surrounding Si and microstructural evolution of Cu within TSVs as they are scaled from 5 to 1 μm diameter and thermally annealed. Using a combination of Raman spectroscopic and electron backscatter diffraction imaging accompanied by thermomechanical modeling, a non-monotonic trend between equivalent stress and TSV diameter is revealed. The non-monotonic trend is interpreted using an elastic thermomechanical model that accounts for competition between the global bending of the wafer and local Cu shrinkage. The elastic behavior is attributed, in large part, to a decrease in the mean grain size of Cu—and the accompanying increase in strength—that occurs with reduced TSV diameter. Thus, given the consistency of measured stress with the elastic model and the improved mechanical strength with decreased grain size, annealed Cu TSVs are deduced to remain more elastic compared to their larger counterparts as they scale from 5 to 1 μm.

With the continuous miniaturization of transistors in microelectronics, three-dimensional heterogeneous integration has attracted tremendous attention due to its potential to achieve a high-performance 3D computations system and extend the benefits of Moore's law without scaling the transistors in the font-end-of-line (FEOL).1 Through silicon via (TSV) is a crucial component for 3D integration as it enables the high-density interconnection and high bandwidth communication between vertically 3D stacked dies. TSV with a diameter of >5 μm has been widely studied in advanced semiconductor technologies such as silicon interposers and high bandwidth memory,2 which has become an essential part of high-performance computing units for artificial intelligence, supercomputers, and graphic cards.3 To meet the future needs of memory transistor scaling while also enabling backside power delivery networks (BSPDN), the TSV size and pitch must be further scaled to the submicrometer range with targets of ultrahigh interconnect density of >106/mm2 (i.e., ∼1 TSV/μm).4 

A TSV is essentially a metal wire embedded vertically inside the silicon substrate. The typical structure of TSV is composed of a central metal interconnect surrounded by thin films such as the dielectric insulator, the diffusion barrier, and the adhesion liner. Copper, widely used as a metal conductor for via-middle and via-last TSV processes, has a coefficient of thermal expansion (CTE) 6× as large as silicon. As a TSV is thermally loaded during either fabrication or operation, CTE mismatch can lead to significant mechanical strain and stress within both the Cu and surrounding Si, which can degrade both reliability and performance. TSV-induced thermal stress, for example, is known to induce interfacial delamination,5 Cu pumping,6 and undesired carrier mobility change in Si due to its piezoelectric properties.7 Therefore, understanding the thermomechanical response of TSV is of great importance in the design and development of advanced 3D-integrated circuits.

While the thermomechanical response of micro-TSV has been extensively studied, knowledge gaps remain as the structures scale toward 1 μm and smaller. It remains unclear, for example, whether scaling TSVs will alter the degree to which plasticity impacts mechanical response upon thermal processing. For larger TSVs (>5 μm diameter), wafer warpage,6,8–11 Cu pumping,12–14 device performance,7,15–17 and thermal stress18–26 have each been studied as a function of TSV diameter. Based on these prior studies, larger Cu TSVs (>5 μm diameter) and the surrounding silicon are known to each respond in a nearly elastic manner after being annealed at high temperatures. For example, Beyne27 and Wolf et al.6 studied the evolution of TSV wafer warpage during high-temperature thermal annealing and subsequent thermal cycling and found a nearly elastic response in both Cu and Si. Similarly, temperature sensitive examinations of carrier mobility within metal–oxide–semiconductor field-effect transistors (MOSFET) near Cu TSVs exhibited a linear response implying an elastic response of Si near the TSV.7,15 Microbeam x-ray diffraction, meanwhile, has observed local plastic deformations near the Cu-surface even as the buried-Cu and surrounding Si remain elastic.28 Plasticity has also been observed in Cu films subject to comparable thermal annealing while similarly sized TSVs analyzed concurrently exhibited an elastic response.11 Taken together, it must, therefore, be concluded that the morphology and size together dictate the thermomechanical response of TSVs, thus warranting an examination as these structures continue in their miniaturization.

This examination is further motivated when considering the known size dependence of mechanical properties in metals at even the micrometer scale. Uchic et al.,29 for example, measured the yield strength of micro-sized single crystals. They reported that at the micrometer scale, the extrinsic length scale, namely, the diameter of the micro-cylinder, impacts the mechanical strength. The yield strength of the single crystal was found to increase dramatically as the specimen size shrinks. Subsequent studies have supported this conclusion and extended it to various crystal materials, including copper.30 Apart from the extrinsic length scale, the intrinsic length (i.e., the grain size) also contributes to the mechanical strength of polycrystals. The classical Hall–Petch theory predicts that mechanical strength increases with the decrease in the grain size.31 Recently, it has been demonstrated that as the sample size approaches the microscale, the increased surface-to-volume ratio plays an important role, leading to a deviation from the Hall–Petch theory.32 Furthermore, an inverse Hall–Petch has also been proposed for grains with a size of several tens of nanometers.33 

These extrinsic and intrinsic length scales are each at play for micrometer-scale TSVs. Electroplated Cu exhibits grain sizes that are typically submicrometer in size. With thermal annealing, Cu-grains are known to grow to sizes exceeding a micrometer that act to relieve strain via “pump out.”28 Thus, micrometer-scaled TSVs have diameters comparable to the grains themselves. It is, therefore, possible that grain growth—and, hence, the mechanical response known to be affected by grain size—could change with TSV scaling.

An investigation incorporating stress measurement and size-dependent material microstructure characterization is, therefore, needed to assess how dimensional scaling impacts the thermomechanical response of micro-TSVs. In response, we systematically quantify stress changes within the Si surrounding TSVs as their diameters shrink from 5 to 1 μm while maintaining a 5:1 aspect ratio using Raman spectroscopic stress imaging. An analytical model is proposed and utilized to explain the experimentally measured stress that considers local Cu shrinkage and global wafer bowing, which indicates that the TSVs respond predominately in an elastic manner. The persistence in the elastic response is considered in light of diameter-dependent changes in the grain size that are quantified with electron backscatter diffraction.

TSV arrays having diameters ranging from 1 to 5 μm and an aspect ratio of ∼5:1 were fabricated on 1 × 1 cm2 die taken from a 525 μm thick Si-wafer. The array pitch was fixed at 10 μm to allow comparison between the different via diameters. The pitch between TSVs can also be a significant design factor, which will be addressed in our future work. These sizes resulted in die nearly covered by arrays that provided approximately ∼1 × 106 TSVs per die for characterization. As shown schematically in Fig. 1(a), the process flow for array fabrication begins by first forming the blind vias with Deep Reactive Ion Etching (DRIE) Bosch etching of the silicon. The etch was followed by a series of thin-film depositions including in order 60 nm SiO2 insulator, 25 nm Ti barrier, and 300 nm Cu seed layer. The thickness of the Cu seed layer is measured on the top surface of TSV sample and indicates the upper bound of seed layer thickness. Void free metal filling was then achieved with bottom-up Cu electroplating. Chemical mechanical polishing (CMP) was performed after electroplating to expose the TSV vias by removing the overplated copper and using the SiO2 layer as an etch stop. Finally, TSVs were thermally annealed in a forming gas-purged furnace at 400 °C for 1 h after the CMP TSV via revealing process. The detailed process and optimization of TSV fabrication can be found in our previous work.34 

FIG. 1.

Fabrication and sample preparation of Cu TSV-array: (a) Process steps for the formation of TSV-array structure. Diagram is not to scale as certain layers are enlarged to show detail; (b) SEM/FIB cross-sectional image showing the void-free filling of TSVs. The image was taken at 52° tilt; (c) optical images showing the top view of different-sized TSVs after CMP.

FIG. 1.

Fabrication and sample preparation of Cu TSV-array: (a) Process steps for the formation of TSV-array structure. Diagram is not to scale as certain layers are enlarged to show detail; (b) SEM/FIB cross-sectional image showing the void-free filling of TSVs. The image was taken at 52° tilt; (c) optical images showing the top view of different-sized TSVs after CMP.

Close modal

TSV-array is inspected with cross-sectional imaging using scanning electron microscopy (SEM) and focused ion beam (FIB). Briefly, arrays are first cross-sectioned with ion milling, creating a through cut with a width of several hundreds of micrometers and a rough cross section. These cross-sectioned samples are then transferred to an SEM/FIB system, where smooth TSV cross sections are created with further polishing. EBSD (electron backscatter diffraction) was then performed on a subset of TSVs to characterize the grain size and orientation of the copper microstructure before and after annealing.34 The 52° tilted FIB image in Fig. 1(b) shows the high-quality, void-free filling, of different-sized electroplated Cu TSVs. Figure 1(c) shows the corresponding top-view optical images of arrays with variable diameter after the excess Cu-layer was removed by CMP. The diameters of TSVs were measured with top-view SEM imaging and rounded to the nearest micrometer when mentioned below. Together, these micrographs indicate the fabrication of relatively uniform, void free, arrays of diameters ranging from 1 to 5 μm.

Stress was quantified within the Si surrounding the TSV using Raman spectroscopy in a backscattered arrangement. Both linescan and image-based measurements were performed by rastering the laser over the sample with a piezo-stage and acquiring a spectrum every 200 or 250 nm, respectively. This was realized using a WiTec alpha300R spectral imaging system employing a 532 nm laser focused to a diffraction limited spot size onto the top Si surface of the TSV-array with a 100×/0.9 objective at a laser flux of <10 mW/μm2. Separate power dependent studies ensured minimal heating of the Si at this flux. Raman scattered light was directed to a 600 mm focal-length Czerny-Turner type spectrometer equipped with an 1800l/mm grating, resulting in a spectral precision in the determination of the Si phonon energy of Δω ∼ 0.05 cm−1.

With this precision and the known calibration response of the Si Raman signal to biaxial stress (D = −3.6 cm−1/GPa),35 stress differences of as little as 14 MPa were resolvable. Absolute stress measurements require the knowledge of the stress free, or reference, energy ( ω o 520.4 c m 1 ) of the Si Raman peak. Day to day variations in the spectrometer calibration and laser wavelength can cause the reference energy of the Si signal to fluctuate by far more than the system precision Δω unless in some way corrected. For this reason, a bare (001) Si surface analogous to that used in TSV-array fabrication was examined before each Raman measurement to directly specify the stress-free reference. Practically, two-hundred spectra were collected from the bare Si over a line spanning 20 μm. The average Raman peak position over these 200-spectra was then taken as ωo. The biaxial stress (σ) was then calculated when measuring the TSV-array via the standard relation linking the measured peak position (ω) to this reference position via σ = ( ω ω o ) / D. The daily measurement of the reference position enables absolute measurements having an uncertainty that is comparable to the precision in the system of 14 MPa. The precision of stress measurement is further improved with repeated measurements and increased collection time of the Raman signal.

Thermal annealing is an important process in TSV fabrication as it stabilizes the microstructure of Cu36 and reduces the Cu pumping of via-middle TSV in the back-end-of-line (BEOL) process.6 However, thermal annealing can also generate considerable residual stress due to the CTE mismatch between the differing materials making up the TSV. Before annealing, electrodeposited Cu is in a fine-grained and low-stress state.37 With annealing, Cu changes in its microstructure and volume. This alters not on the stress with the Cu portion of the TSV but also the surrounding Si as well.

For example, upon the initial application of the thermal load, the large CTE mismatch between the Cu and Si generates considerable thermal stress inside the TSV. As time advances, plastic deformation and recrystallization27 of Cu gradually release the thermal stress, bringing the whole structure to a stress-free state at a temperature typically between 115 and 400 °C.7,10,15,17,38 This high-temperature stress relief is accomplished primarily through “pumping” of the metal that results in permanent Cu protrusion above the Si surface that occurs in tandem with significant grain growth.9,13 Cooling from this low-stress state induces stress into the structure once again owing to the CTE mismatch. The Cu core shrinks toward the center of the TSV pulling against Si resulting in a notable residual stress in the entire TSV structure (i.e., Cu, barrier layer, dielectric, and Si). The magnitude of stresses within the materials will be dictated by not only the process but so too the diameter of the TSV and the microstructure of the Cu.

To examine the TSV's effect on the surrounding Si, stress was quantified along the centerline connecting two adjacent TSVs, as is shown by the schematics of Fig. 2. The resulting stress profiles for differing TSV diameters are also provided in Fig. 2, where the raw data of every spectrum are accompanied by a moving average over 9 separate spectral acquisitions. As expected, owing to the larger CTE of Cu relative to Si, the stress is found to be compressive within the semiconductor near the Cu core. This is consistent with previous studies.22 Despite being qualitatively similar, TSV diameter does impact the stress profile. Significant differences in the magnitude and trend of the stress within the Si are observed as the TSV diameters scale from 4 to 1 μm in diameter.

FIG. 2.

Distribution of equivalent residual stress in the gap between two adjacent Cu TSVs with different diameters of (a) 1, (b) 2, and (c) 4 μm after being annealed at 400 °C for 1 h. The red dots indicate the equivalent stress averaged from multiple measurements (blue dots).

FIG. 2.

Distribution of equivalent residual stress in the gap between two adjacent Cu TSVs with different diameters of (a) 1, (b) 2, and (c) 4 μm after being annealed at 400 °C for 1 h. The red dots indicate the equivalent stress averaged from multiple measurements (blue dots).

Close modal

Owing to the constant 10 μm pitch (P) between vias, smaller TSVs show less mechanical crosstalk and, as a consequence, lower average stress. The smallest 1 μm diameter TSVs, for example, exhibit a stress profile that transitions from compression closest to the metallic core to one of slight tension before dissipating to a nominally stress-free state 2 μm from the edge of a via [see Fig. 2(a)]. This leaves roughly 6 μm of Si that is stress free. Larger diameter TSVs do not, however, have a sufficient span of silicon for this relaxation to take place as can be seen in Figs. 2(b) and 2(c) for the 2 and 4 μm arrays. Stress does not fully relax between these larger vias due to the crosstalk culminating in a larger average stress even at the midpoint between individual vias.

Using this midpoint stress between vias as a proxy for stress effects in the Si, the magnitude of equivalent stress at the center of gaps between was quantified for different TSV diameters. The distance between the center of the gap to the nearest TSV center is consistently 5 μm for all TSV arrays, ensuring a fair comparison between different TSV sizes. As shown in Fig. 3, a line scan is performed on the centerline of the gap between TSVs, and the average residual stress value at the gap center is calculated. For each data point in Fig. 3, the stress value is comprised of an average among 10 separate gaps between TSVs for a given diameter, where each gap was itself measured with five individual line-scans. Stress increases until reaching a maximum at a diameter of ∼4.5 μm before decreasing. The non-monotonic dependence of stress on the TSV size can be explained by considering the combined effects of global bending and local shrinkage of Cu TSVs, which are discussed in detail with the elastic model proposed in the next session.

FIG. 3.

Linearly averaged stress on the centerline between two adjacent TSVs. The residual stress is plotted as a function of TSV diameter ranging from 1 to 5 μm. Each datapoint represents the arithmetic mean of five individual measurements.

FIG. 3.

Linearly averaged stress on the centerline between two adjacent TSVs. The residual stress is plotted as a function of TSV diameter ranging from 1 to 5 μm. Each datapoint represents the arithmetic mean of five individual measurements.

Close modal

A linear elastic analytical model is proposed to understand the mechanism behind the mechanical behavior of TSV-induced thermal stress. The model is developed based on the superposition principle. The stress field of the TSV-array is decomposed into two parts, namely, the global stress induced by the sample warpage and the local stress distribution caused by the shrinkage of Cu cores in TSVs. Both the stresses induced by global bending and local shrinkage are assumed to be biaxial stresses, allowing the addition of the two stress components. To simplify the model, the nanoscale dielectric (SiO2) and barrier (Ti) layers are neglected leaving only Cu and Si. The microstructure and stress state of Cu seed layer can be different from the electroplated copper.39 Such an impact was not considered due to the reduced seed layer thickness within the TSV structure. The mechanical properties of these materials are taken from the literature,10 as listed in Table I.

TABLE I.

Mechanical properties of copper and silicon.

MaterialYoung's modulus (E) (GPa)Poisson's ratio (ν)CTE (α) (ppm/K)
Cu 110 0.35 17 
Si 130 0.28 2.3 
MaterialYoung's modulus (E) (GPa)Poisson's ratio (ν)CTE (α) (ppm/K)
Cu 110 0.35 17 
Si 130 0.28 2.3 
Global bending effects are evaluated by treating the top-portion of the wafer containing the TSVs as a thin-film exhibiting effective properties of both Cu and Si. More specifically, the effective material properties of the fiber (Cu TSV)/matrix (Si)-like thin-film composite are determined with Schapery's equation,40 where the transverse effective CTE of the thin film is described as
(1)
where V denotes the volumetric ratio and the subscript denotes the material. The volumetric ratio of Cu is estimated by considering the TSV as a truncated cone and assuming an ∼86.5° inclined angle of the sidewall, measured directly from the FIB image [see Fig. 1(b)]. An undercut feature was observed in the TSV structure. Although it can impact local stress distribution, it is neglected in our model as we focused on the centerline between two TSVs, which is far from the undercut region. Using these effective properties, the bending-induced film stress can be therefore expressed as41 
(2)
where ΔT is the temperature difference between the room temperature and the stress-free temperature. The Young modulus and Poisson ratio of the thin film are approximated with the properties of Si, owing to the similar biaxial modulus of Cu and Si and the small volumetric ratio of Cu in the thin film.22 
The additional local stress distribution induced by copper shrinkage is modeled as the superposition of the stress field induced by many single TSVs.42 The stress profile of a single TSV is calculated by using the model proposed by Ryu et al.,22 where the equivalent stress is given by
(3)
where ɛT = (αCuαSiT is the thermal strain, D is the diameter of TSV, z is the distance from the silicon surface, r is the distance from the center of TSV, and R = z 2 + r 2 + ρ 2 2 ρ r cos θ. The impact of TSVs within the cutoff distance of rcut = 2D from the area of interest is accounted for to obtain the overall stress contribution of the entire TSV-array. Adding the global bending stress in Eq. (2) to the stress field induced by the local shrinkage of TSV-array in Eq. (3) provides the expression of the total stress,
(4)
where ri is the horizontal distance from the center of the ith-TSV to the point of interest, and σ shrink , i ( r i , z ) is the elastic stress induced by the corresponding TSV, which is accounted for only if within the cutoff distance.
The modeled stress was averaged via a weighting considering the spatial characteristics of the light scattering to enable comparison to the experiment. The exciting laser (λ = 532 nm) was assumed to have a Gaussian profile with a diffraction limited spot size presumed under the Rayleigh criterion of Γ = 0.61 λ / NA 360 nm, where NA = 0.9 is the numerical aperture of the microscope objective. Depth averaging was accounted for by considering a nominal absorption coefficient for the silicon of α = 6288 cm−1 corresponding to the material's value at the laser wavelength, even as the Stokes-scattered light will have a longer wavelength (∼547 nm) and, thus, a marginally different absorption coefficient (<3% difference).43 With this framework, the modeled value of stress at some location corresponding to the measured value is given by44 
(5)
where rb is the distance from the center of the beam, Ω is the beam area, and z is the distance from the surface of the silicon.

The Raman stress image along with the stress distribution connecting TSV centers is compared with the analytical model in Fig. 4, where a notable consistency is found between theory and experiment. The stress contours in Figs. 4(a) and 4(b)—along with the linescan of Fig. 4(c)—each indicate a concentration of compressive stress near the Cu core that rapidly transitions to tension upon moving from the TSV core. The similarity between experiment and theory extends to all examined diameters as seen in Fig. 4(d). Importantly, the measured non-monotonic average stress at the centerline between two adjacent TSVs having a maximum at a diameter near 4.5 μm is predicted by the elastic analytical treatment considering only local stress induced by Cu shrinkage and global bending.

FIG. 4.

Comparison between the analytical model and Raman measurement of a 4 μm TSV. A stress-free temperature of 250 °C is assumed based on best fit. Profile of residual stress in the adjacent area of TSV (a) predicted by analytical model and (b) measured with Raman spectroscopy. (c) Line distribution of stress in the gap between two TSVs. The dotted line denotes the model prediction, and the dots represent the experimental results. (d) Dependence of stress on the TSV diameter. The analytical model showing that the total stress is the combination of global bending effects and local Cu shrinkage. The bands indicate the model prediction with the stress-free temperature spanning from 115 and 400 °C, and the 250 °C stress-free temperature is indicated with dotted lines. The dots denote the stress measured from experiments.

FIG. 4.

Comparison between the analytical model and Raman measurement of a 4 μm TSV. A stress-free temperature of 250 °C is assumed based on best fit. Profile of residual stress in the adjacent area of TSV (a) predicted by analytical model and (b) measured with Raman spectroscopy. (c) Line distribution of stress in the gap between two TSVs. The dotted line denotes the model prediction, and the dots represent the experimental results. (d) Dependence of stress on the TSV diameter. The analytical model showing that the total stress is the combination of global bending effects and local Cu shrinkage. The bands indicate the model prediction with the stress-free temperature spanning from 115 and 400 °C, and the 250 °C stress-free temperature is indicated with dotted lines. The dots denote the stress measured from experiments.

Close modal

Beyond indicating that the mechanical behavior is largely elastic for the examined diameter range, correlation between experiment and theory provides a perspective to understand the TSV-induced evolution of stress. With the increase of TSV diameter, for example, the volumetric ratio of Cu increases. Its effect becomes, therefore, more pronounced. On one hand, more copper enhances the impact of Cu shrinkage and, thus, the compressive stress in the silicon. On the other hand, a greater amount of copper provides more force to bend the wafer that induces tension in the silicon. These countervailing effects vary with diameter at differing rates. Bending dominating at smaller diameters that then gives way to shrinkage. The trade-off in the dominance of these effects leads to the non-monotonic trend.

We speculate that the elastic response persisting at smaller diameters is a consequence of strengthening in the Cu that occurs with a decrease in grain size caused by the geometric constraint of the TSV diameter itself. This statement is arrived at by considering diameter-dependent changes in the microstructure observed in EBSD in conjunction with known grain size dependence of yield strength in Cu.30 As shown in Fig. 5, the TSV diameter impacts the Cu grain size. Larger diameters lead to larger grains. The average grain size roughly scales to the radius of the TSV after annealing [see Fig. 5(c)]. These differences in the grain size have consequences for the mechanical response. Additionally, the EBSD images show the uniform microstructure of copper inside the TSV, illustrating a good consistency between the copper seed layer and the electroplated copper.

FIG. 5.

The EBSD image shows the grain orientation and size on the cross section of (a) as-plated and (b) annealed TSVs. The TSV samples are annealed at 400 °C for 1 h in forming gas. (c) Bar chart showing the dependence of the area-weighted mean grain size on the diameter of pre-annealed and post-annealed TSVs. TSVs are plated at the optimized current densities, which are 0.08 A/dm2 for 1 and 2 μm TSV, and 0.4 A/dm2 for 4 μm TSV.

FIG. 5.

The EBSD image shows the grain orientation and size on the cross section of (a) as-plated and (b) annealed TSVs. The TSV samples are annealed at 400 °C for 1 h in forming gas. (c) Bar chart showing the dependence of the area-weighted mean grain size on the diameter of pre-annealed and post-annealed TSVs. TSVs are plated at the optimized current densities, which are 0.08 A/dm2 for 1 and 2 μm TSV, and 0.4 A/dm2 for 4 μm TSV.

Close modal

Smaller grain size limits the generation of dislocations and strengthens Cu.32 Moreover, smaller grain sizes inherently increase the concentration of grain boundaries, which limit the motion of dislocations.37 A reduced number of dislocation and a slowing of their motion lead to enhanced strength. In combining these two effects: it can be inferred that the yield strength of Cu will increase as the TSV diameter is scaled down. Given the nearly elastic thermomechanical response previously reported for thermally annealed TSVs with diameters >5 μm7,27,38 with the grain size boost in strength at even smaller diameters, the observation of an elastic response here is consistent with expectation after the TSV annealing process.

The effects of scaling on the thermomechanical response of Cu TSVs with a diameter spanning from 1 to 5 μm are investigated with Raman stress measurement and EBSD grain characterization before and after thermal annealing. The measured stress increases with diameter up to a maximum near 4.5 μm before decreasing. The non-monotonic trend is explained using an analytical model that includes the competition between global bending and local CTE mismatch including purely elastic effects, which correlates well with measured stress. The grain size of Cu is also dictated by the TSV scaling having an average size that roughly corresponds to via radius. This geometric dependence on the grain size increases the yield strength of the Cu and, thus, will likely extend elastic effects to some degree with continued scaling of this technology integral for advanced system architectures.

This work was supported by the Semiconductor Research Corporation (SRC) as a part of the Global Research Collaboration (GRC) in the Center for Heterogeneous Integration Research on Packaging (CHIRP). The fabrication and EBSD characterizations are also supported by Dr. Tiwei Wei's start-up funds from Purdue University. We thank our SRC liaisons, Yu-Tao Yang from MediaTek, and Se-Ho You from Samsung for their feedback and input.

The authors have no conflicts to disclose.

Shuhang Lyu: Conceptualization (equal); Data curation (equal); Formal analysis (equal); Investigation (equal); Methodology (equal); Visualization (equal); Writing – original draft (equal). Thomas Beechem: Conceptualization (equal); Funding acquisition (equal); Methodology (equal); Project administration (equal); Resources (equal); Supervision (equal); Writing – review & editing (equal). Tiwei Wei: Conceptualization (equal); Formal analysis (equal); Funding acquisition (equal); Investigation (equal); Project administration (equal); Resources (equal); Supervision (equal); Writing – review & editing (equal).

The data that support the findings of this study are available from the corresponding author upon reasonable request.

1.
F.
Sheikh
,
R.
Nagisetty
,
T.
Karnik
, and
D.
Kehlet
, “
2.5D and 3D heterogeneous integration: Emerging applications
,”
IEEE Solid-State Circuits Mag.
13
(
4
),
77
87
(
2021
).
2.
J. H.
Lau
, “
Recent advances and trends in multiple system and heterogeneous integration with TSV interposers
,”
IEEE Trans. Compon., Packag., Manuf. Technol.
13
(
1
),
3
25
(
2023
).
3.
K.
Kim
and
M.
Park
, “
Present and future, challenges of high bandwidth memory (HBM)
,” in
2024 IEEE International Memory Workshop (IMW)
(IEEE,
2024
), pp.
1
4
.
4.
H.-S. P.
Wong
,
K.
Akarvardar
,
D.
Antoniadis
,
J.
Bokor
,
C.
Hu
,
T.-J.
King-Liu
,
S.
Mitra
,
J. D.
Plummer
, and
S.
Salahuddin
, “
A density metric for semiconductor technology [point of view]
,”
Proc. IEEE
108
(
4
),
478
482
(
2020
).
5.
K. H.
Lu
,
S.-K.
Ryu
,
Q.
Zhao
,
X.
Zhang
,
J.
Im
,
R.
Huang
, and
P. S.
Ho
, “
Thermal stress induced delamination of through silicon vias in 3-D interconnects
,” in
2010 Proceedings 60th Electronic Components and Technology Conference (ECTC)
(IEEE,
2010
), pp.
40
45
.
6.
I.
De Wolf
,
K.
Croes
,
O.
Varela Pedreira
,
R.
Labie
,
A.
Redolfi
,
M.
Van De Peer
,
K.
Vanstreels
,
C.
Okoro
,
B.
Vandevelde
, and
E.
Beyne
, “
Cu pumping in TSVs: Effect of pre-CMP thermal budget
,”
Microelectron. Reliab.
51
(
9
),
1856
1859
(
2011
).
7.
W.
Guo
,
G.
Plas
,
A.
Ivankovic
,
V.
Cherman
,
G.
Eneman
,
B.
Wachter
,
M.
Togo
,
A.
Redolfi
,
S.
Kubicek
,
Y.
Civale
,
T.
Chiarella
,
B.
Vandevelde
,
K.
Croes
,
I.
De Wolf
,
I.
Debusschere
,
A.
Mercha
,
A. V.-Y.
Thean
,
G.
Beyer
,
B.
Swinnen
, and
E.
Beyne
, “
Impact of through silicon via induced mechanical stress on fully depleted bulk FinFET technology
,” in
2012 International Electron Devices Meeting (IEDM)
(IEEE, San Francisco, CA,
2012
), pp. 18.4.1–18.4.4.
8.
L.
Spinella
,
M.
Park
,
J.
Im
,
P.
Ho
,
N.
Tamura
, and
T.
Jiang
, “
Effect of scaling copper through-silicon vias on stress and reliability for 3D interconnects
,” in
2016 IEEE International Interconnect Technology Conference/Advanced Metallization Conference (IITC/AMC)
(IEEE,
2016
), pp.
80
82
.
9.
J.
De Messemaeker
,
O.V.
Pedreira
,
A.
Moussa
,
N.
Nabiollahi
,
K.
Vanstreels
,
S.
Van Huylenbroeck
,
H.
Philipsen
,
P.
Verdonck
,
B.
Vandevelde
,
I.
De Wolf
,
E.
Beyne
, and
K.
Croes
, “
Impact of oxide liner properties on TSV Cu pumping and TSV stress
,” in
2015 IEEE International Reliability Physics Symposium
(
IEEE
,
Monterey, CA
,
2015
), pp.
4C.5.1
4C.5.10
.
10.
S.-K.
Ryu
,
T.
Jiang
,
K. H.
Lu
,
J.
Im
,
H.-Y.
Son
,
K.-Y.
Byun
,
R.
Huang
, and
P. S.
Ho
, “
Characterization of thermal stresses in through-silicon vias for three-dimensional interconnects by bending beam technique
,”
Appl. Phys. Lett.
100
(
4
),
041901
(
2012
).
11.
T.
Jiang
,
S.-K.
Ryu
,
J.
Im
,
H.-Y.
Son
,
N.-S.
Kim
,
R.
Huang
, and
P. S.
Ho
, “
Impact of material and microstructure on thermal stresses and reliability of through-silicon via (TSV) structures
,” in
2013 IEEE International Interconnect Technology Conference—IITC
(IEEE,
2013
), pp.
1
3
.
12.
D.
Malta
,
C.
Gregory
,
M.
Lueck
,
D.
Temple
,
M.
Krause
,
F.
Altmann
,
M.
Petzold
,
M.
Weatherspoon
, and
J.
Miller
, “
Characterization of thermo-mechanical stress and reliability issues for Cu-filled TSVs
,” in
2011 IEEE 61st Electronic Components and Technology Conference (ECTC)
(
IEEE
,
Lake Buena Vista, FL
,
2011
), pp.
1815
1821
.
13.
A.
Heryanto
,
W. N.
Putra
,
A.
Trigg
,
S.
Gao
,
W. S.
Kwon
,
F. X.
Che
,
X. F.
Ang
,
J.
Wei
,
R. I.
Made
,
C. L.
Gan
, and
K. L.
Pey
, “
Effect of copper TSV annealing on via protrusion for TSV wafer fabrication
,”
J. Electron. Mater.
41
(
9
),
2533
2542
(
2012
).
14.
N.
Nabiollahi
,
C.J.
Wilson
,
J. De
Messemaeker
,
M.
Gonzalez
,
K.
Croes
,
E.
Beyne
, and
I.
De Wolf
, “
Simulation of Cu pumping during TSV fabrication
,” in
2013 14th International Conference on Thermal, Mechanical and Multi-Physics Simulation and Experiments in Microelectronics and Microsystems (EuroSimE)
(IEEE,
2013
), pp.
1
4
.
15.
M.-Y.
Tsai
,
P.-S.
Huang
,
C.-Y.
Huang
,
H.
Jao
,
B.
Huang
,
B.
Wu
,
Y.-Y.
Lin
,
W.
Liao
,
J.
Huang
,
L.
Huang
,
S.
Shih
, and
J. P.
Lin
, “
Investigation on Cu TSV-induced KOZ in silicon chips: Simulations and experiments
,”
IEEE Trans. Electron Devices
60
(
7
),
2331
2337
(
2013
).
16.
M. Y.
Tsai
,
P. S.
Huang
,
C. Y.
Huang
,
P. C.
Lin
,
L.
Huang
,
M.
Chang
,
S.
Shih
, and
J. P.
Lin
, “
An investigation into warpages, stresses and keep-out zone in 3D through-silicon-via DRAM packages
,”
Microelectron. Reliab.
54
(
12
),
2898
2904
(
2014
).
17.
A.
Mercha
,
G.
Van Der Plas
,
V.
Moroz
,
I.
De Wolf
,
P.
Asimakopoulos
,
N.
Minas
,
S.
Domae
,
D.
Perry
,
M.
Choi
,
A.
Redolfi
,
C.
Okoro
,
Y.
Yang
,
J.
Van Olmen
,
S.
Thangaraju
,
D. S.
Tezcan
,
P.
Soussan
,
J. H.
Cho
,
A.
Yakovlev
,
P.
Marchal
,
Y.
Travaly
,
E.
Beyne
,
S.
Biesemans
, and
B.
Swinnen
, “
Comprehensive analysis of the impact of single and arrays of through silicon vias induced stress on high-k/metal gate CMOS performance
,” in
2010 International Electron Devices Meeting
(
IEEE
,
San Francisco, CA
,
2010
), pp.
2.2.1
2.2.4
.
18.
J.
Kim
,
S. M.
Han
, and
W. S.
Yoo
, “
Multiwavelength Raman characterization of silicon stress near through-silicon vias and its inline monitoring applications
,”
J. Micro/Nanolithogr., MEMS, MOEMS
13
,
011205
(
2014
).
19.
D.
Kosemura
and
I.
De Wolf
, “
Three-dimensional micro-Raman spectroscopy mapping of stress induced in Si by Cu-filled through-Si vias
,”
Appl. Phys. Lett.
106
(
19
),
191901
(
2015
).
20.
W. S.
Kwon
,
D. T.
Alastair
,
K. H.
Teo
,
S.
Gao
,
T.
Ueda
,
T.
Ishigaki
,
K. T.
Kang
, and
W. S.
Yoo
, “
Stress evolution in surrounding silicon of Cu-filled through-silicon via undergoing thermal annealing by multiwavelength micro-Raman spectroscopy
,”
Appl. Phys. Lett.
98
(
23
),
232106
(
2011
).
21.
C.
Okoro
,
L. E.
Levine
,
R.
Xu
, and
Y. S.
Obeng
, “
Experimentally, how does Cu TSV diameter influence its stress state?
,” in
2015 IEEE 65th Electronic Components and Technology Conference (ECTC)
(IEEE,
2015
), pp.
54
58
.
22.
S.-K.
Ryu
,
Q.
Zhao
,
M.
Hecker
,
H.-Y.
Son
,
K.-Y.
Byun
,
J.
Im
,
P. S.
Ho
, and
R.
Huang
, “
Micro-Raman spectroscopy and analysis of near-surface stresses in silicon around through-silicon vias for three-dimensional interconnects
,”
J. Appl. Phys.
111
(
6
),
063513
(
2012
).
23.
S.-K.
Ryu
,
K.-H.
Lu
,
T.
Jiang
,
J.-H.
Im
,
R.
Huang
, and
P. S.
Ho
, “
Effect of thermal stresses on carrier mobility and keep-out zone around through-silicon vias for 3-D integration
,”
IEEE Trans. Device Mater. Reliab.
12
(
2
),
255
262
(
2012
).
24.
P.
Saettler
,
M.
Hecker
,
M.
Boettcher
,
C.
Rudolph
, and
K. J.
Wolter
, “
μ-Raman spectroscopy and FE-modeling for TSV-stress-characterization
,”
Microelectron. Eng.
137
,
105
110
(
2015
).
25.
Q.
Zhao
,
J.
Im
,
R.
Huang
, and
P. S.
Ho
, “
Extension of micro-Raman spectroscopy for full-component stress characterization of TSV structures
,” in
2013 IEEE 63rd Electronic Components and Technology Conference
(
IEEE
,
Las Vegas, NV
,
2013
), pp.
397
401
.
26.
Y.
Zhu
,
J.
Zhang
,
H. Y.
Li
,
C. S.
Tan
, and
G.
Xia
, “
Study of near-surface stresses in silicon around through-silicon vias at elevated temperatures by Raman spectroscopy and simulations
,”
IEEE Trans. Device Mater. Reliab.
15
(
2
),
142
148
(
2015
).
27.
E.
Beyne
, “
Reliable via-middle copper through-silicon via technology for 3-D integration
,”
IEEE Trans. Compon., Packag., Manuf. Technol.
6
(
7
),
983
992
(
2016
).
28.
T.
Jiang
,
C.
Wu
,
N.
Tamura
,
M.
Kunz
,
B. G.
Kim
,
H.-Y.
Son
,
M.-S.
Suh
,
J.
Im
,
R.
Huang
, and
P. S.
Ho
, “
Study of stresses and plasticity in through-silicon via structures for 3D interconnects by x-ray micro-beam diffraction
,”
IEEE Trans. Device Mater. Reliab.
14
(
2
),
698
703
(
2014
).
29.
M. D.
Uchic
,
D. M.
Dimiduk
,
J. N.
Florando
, and
W. D.
Nix
, “
Sample dimensions influence strength and crystal plasticity
,”
Science
305
(
5686
),
986
989
(
2004
).
30.
M. D.
Uchic
,
P. A.
Shade
, and
D. M.
Dimiduk
, “
Plasticity of micrometer-scale single crystals in compression
,”
Annu. Rev. Mater. Res.
39
(
1
),
361
386
(
2009
).
31.
Z. C.
Cordero
,
B. E.
Knight
, and
C. A.
Schuh
, “
Six decades of the Hall–Petch effect—A survey of grain-size strengthening studies on pure metals
,”
Int. Mater. Rev.
61
(
8
),
495
512
(
2016
).
32.
W.
Liu
,
Y.
Liu
,
Y.
Cheng
,
L.
Chen
,
L.
Yu
,
X.
Yi
, and
H.
Duan
, “
Unified model for size-dependent to size-independent transition in yield strength of crystalline metallic materials
,”
Phys. Rev. Lett.
124
(
23
),
235501
(
2020
).
33.
S. N.
Naik
and
S. M.
Walley
, “
The Hall–Petch and inverse Hall–Petch relations and the hardness of nanocrystalline metals
,”
J. Mater. Sci.
55
(
7
),
2661
2681
(
2020
).
34.
S.
Lyu
,
T. E.
Beechem
, and
T.
Wei
, “
Thermo-mechanical reliability analysis and Raman spectroscopy characterization of sub-micron through silicon vias (TSVs) for backside power delivery in 3D interconnects
,” in
2024 IEEE 74th Electronic Components and Technology Conference (ECTC)
(IEEE,
2024
), pp.
834
841
.
35.
T.
Beechem
,
S.
Graham
,
S. P.
Kearney
,
L. M.
Phinney
, and
J. R.
Serrano
, “
Invited article: Simultaneous mapping of temperature and stress in microdevices using micro-Raman spectroscopy
,”
Rev. Sci. Instrum.
78
(
6
),
061301
(
2007
).
36.
Y.
Song
,
R.
Abbaspour
,
M. S.
Bakir
, and
S. K.
Sitaraman
, “
Thermal annealing effects on copper microstructure in through-silicon-vias
,” in
2016 15th IEEE Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems (ITherm)
(IEEE,
2016
), pp.
91
95
.
37.
X.
Liu
,
Q.
Chen
,
P.
Dixit
,
R.
Chatterjee
,
R. R.
Tummala
, and
S. K.
Sitaraman
, “
Failure mechanisms and optimum design for electroplated copper through-silicon vias (TSV)
,” in
2009 59th Electronic Components and Technology Conference
(IEEE,
2009
), pp.
624
629
.
38.
T.
Jiang
,
S.-K.
Ryu
,
Q.
Zhao
,
J.
Im
,
R.
Huang
, and
P. S.
Ho
, “
Measurement and analysis of thermal stresses in 3D integrated structures containing through-silicon-vias
,”
Microelectr. Reliab.
53
(
1
),
53
62
(
2013
).
39.
Y.
Pan
,
Y.
Liu
,
T.
Wang
, and
X.
Lu
, “
Effect of a Cu seed layer on electroplated Cu film
,”
Microelectron. Eng.
105
,
18
24
(
2013
).
40.
E.
Sideridis
, “
Thermal expansion coefficients of fiber composites defined by the concept of the interphase
,”
Compos. Sci. Technol.
51
(
3
),
301
317
(
1994
).
41.
G. G.
Stoney
, “
The tension of metallic films deposited by electrolysis
,”
Proc. R. Soc. Lond. A
82
(553), 172–175 (
1909
).
42.
M.
Jung
,
J.
Mitra
,
D. Z.
Pan
, and
S. K.
Lim
, “
TSV stress-aware full-chip mechanical reliability analysis and optimization for 3D IC
,”
Commun. ACM
57
(
1
),
107
115
(
2014
).
43.
H.
Wang
,
X.
Liu
, and
Z. M.
Zhang
, “
Absorption coefficients of crystalline silicon at wavelengths from 500 nm to 1000 nm
,”
Int. J. Thermophys.
34
(
2
),
213
225
(
2013
).
44.
T.
Beechem
,
L.
Yates
, and
S.
Graham
, “
Invited review article: Error and uncertainty in Raman thermal conductivity measurements
,”
Rev. Sci. Instrum.
86
(
4
),
041101
(
2015
).