This paper presents an overview and perspective on processing technologies required for continued scaling of leading edge and emerging semiconductor devices. We introduce the main drivers and trends affecting future semiconductor device scaling and provide examples of emerging devices and architectures that may be implemented within the next 10-20 yr. We summarize multiple active areas of research to explain how future thin film deposition, etch, and patterning technologies can enable 3D (vertical) power, performance, area, and cost scaling. Emerging and new process technologies will be required to enable improved contacts, scaled and future devices and interconnects, monolithic 3D integration, and new computing architectures. These process technologies are explained and discussed with a focus on opportunities for continued improvement and innovation.
INTRODUCTION
Semiconductor integrated circuit scaling according to Moore’s law1 has enabled a computing revolution that has transformed the way people interact with each other and the world in general. For decades, scaling was achieved by continually making devices (and interconnects) smaller, for instance according to Dennard’s law2 for transistors. Recently, this approach has encountered fundamental roadblocks.3 As gate and capacitor dielectrics scaled down deleterious leakage, currents grew to the point where it was no longer possible to make them physically thinner, while maintaining power consumption and device heating below reasonable limits. High dielectric constant (high k) materials were introduced as a countermeasure, but those only provided a brief respite.4 Over the past decade, device makers have increasingly relied upon new device geometries and new circuit architectures to continue Moore’s law style scaling that can deliver improved performance, within reasonable power limits, at a manufacturable chip area and with a reduced cost per device. This integrated approach to power, performance, area, and cost (PPAC) scaling is the current paradigm within the semiconductor industry and has resulted in microprocessors and systems on a chip (SOC’s) comprising billions of transistors and DRAM chips comprising tens of billions of capacitors and 10 or more metal interconnect layers.
There are three major types of high volume products manufactured by the semiconductor industry: Logic chips which include microprocessors and SOC’s; DRAM chips which provide high speed and volatile memory; and flash chips which provide non-volatile memory. Each of these has its own unique requirements and drivers, and so each of these has reacted to the new paradigm of PPAC scaling differently, but all of them have made use in some way of the third (vertical) dimension, Fig. 1. This new vertical scaling trend will drive process trends within the industry over the next decade and more.
Illustration of the migration to 3D devices and 3D architectures taking place in the semiconductor industry5,6 (note: IDM is an integrated device maker).
Illustration of the migration to 3D devices and 3D architectures taking place in the semiconductor industry5,6 (note: IDM is an integrated device maker).
PROCESSES FOR PATTERNING AND OVERLAY CONTROL
Minimum feature sizes, defined by the tightest orthogonal half pitches in the chip, have scaled down to the point where it is no longer possible to use only single exposure lithography in high volume manufacturing (HVM). Therefore, it is necessary to use various multiple patterning technologies to create structures on the chip that are smaller than what can be patterned lithographically alone. Self-aligned multi-patterning (SAMP) schemes are employed to fabricate the dense line and space patterns, Fig. 2. Because isolated features can be patterned with higher resolution, cuts in the line and space patterns can then be made by multiple lithography and etch passes, a process sometimes referred to as Litho-Etch-Litho-Etch (LELE). Thus, a single metal interconnect layer, which is used to have bidirectional metal lines and take one mask to pattern, now typically has unidirectional metal lines and will require 3 or more conventional masks to pattern. Each application of SAMP causes the nominal cost to manufacture an individual device (e.g., an individual transistor) to rise. Chip designers are forced to adhere to restricted design rules, for example, unidirectional metals, which restrict placement and routing and result in lower on-chip device density. Manufacturing cost increases monotonically with the number of masks needed to manufacture a chip, and the alignment and placement of cuts and vias between layers in the chip become extremely challenging driving down tolerances for edge placement error (EPE), which can impact chip yield.7 Thus, minimizing manufacturing costs requires device makers to think holistically about how design impacts performance and manufacturability and reduces the cost of multi-patterning technologies whenever and wherever possible.
Illustration of a self-aligned quadruple patterning (SAQP)8 flow with unidirectional lines and multiple cut masks. Dense lines and space patterns are initially formed by SAQP, and then multiple cuts or trim masks with very fine isolated features are used to cut the lines into useful device features or wiring.9,10 The EPE is a function of the critical dimension (CD) variation as well as the pattern overlay (OL) error for each mask.11
Illustration of a self-aligned quadruple patterning (SAQP)8 flow with unidirectional lines and multiple cut masks. Dense lines and space patterns are initially formed by SAQP, and then multiple cuts or trim masks with very fine isolated features are used to cut the lines into useful device features or wiring.9,10 The EPE is a function of the critical dimension (CD) variation as well as the pattern overlay (OL) error for each mask.11
The most impactful way to reduce mask count, and therefore cost, in HVM currently would be to introduce an affordable manufacturable lithography process that enables single patterning. In fact, to some extent, that is expected to happen within the next few years with the introduction of 13.5nm wavelength extreme ultraviolet (EUV) lithography.12 Unfortunately, multi-patterning will quickly be required again as feature sizes scale below about 15 nm,13 and many device makers will probably elect to continue using SAMP schemes that only require a single mask to make tight pitch line and space patterns for fins, gates, or interconnect lines. Thus, EUV lithography, which will be much more expensive on a cost of ownership (COO) per wafer pass basis than the current state-of-the-art 193 nm immersion lithography, is likely to find its biggest use in patterning cuts and vias in a single mask step instead of LELE14 and thus significantly reducing the cost of multi-patterning. Of course, the exact COO difference between EUV and 193i based multi-patterning will not be known until EUV is ready for HVM, but a commonly applied rule of thumb in the industry is to assume that anytime an EUV step can eliminate 3 or more 193i mask steps and it becomes cost effective.15,16
There are additional lithography options that can be considered as well to enable future scaling. Electron beam (e-beam) lithography16 and nano-imprint lithography (NIL)17,18 represent two such options. Further development on these and other processes which could be capable of sub-10 nm resolution lithography is certainly warranted and would provide some relief from the lithography bottleneck.
While higher resolution lithography could provide relief to chip makers from some of the costs inherent in multi-patterning, the industry would still be faced with the problems of layer to layer alignment and EPE. Thus, chip makers have begun to utilize self-aligned patterning schemes to gain additional leeway in terms of EPE. For instance, at the device level, Intel first utilized a self-aligned contact (SAC) scheme at the 22 nm node,19 and they have recently announced that they will include a contact over active gate, which requires a self-aligned gate (and) contact (SAGC) integration scheme, in their 10 nm technology platform,20 Fig. 3. Self-aligned block (SAB)21 is an analogous approach that enables self-alignment of interconnect layers from one layer to the next with expanded EPE and/or reduced mask count.
Cross-sectional schematic illustrating the multi-color approach to SAGC integration. GC and SD arrows indicate relative EPE tolerances for gate contact (GC) and source/drain (SD) contact placement, respectively. The gate cap and SD cap are dielectric capping layers that can be etched away selectively,7 so if an SD contact lands partially on a gate cap, the gate cap will prevent a short. See also Fig. 4(b).
Cross-sectional schematic illustrating the multi-color approach to SAGC integration. GC and SD arrows indicate relative EPE tolerances for gate contact (GC) and source/drain (SD) contact placement, respectively. The gate cap and SD cap are dielectric capping layers that can be etched away selectively,7 so if an SD contact lands partially on a gate cap, the gate cap will prevent a short. See also Fig. 4(b).
Such schemes rely on etch selectivity differences between different materials to enable self-alignment, and an easy way to design and think about such schemes is to think of these different materials as different colors that can be selectively etched or left behind as needed to form the desired final structure.22,23 The exact materials used in these schemes can vary from manufacturer to manufacturer, as well as from layer to layer depending on constraints such as thermal budget, cost, legacy processes, etc. But this style of patterning is becoming ubiquitous in the industry and represents a hotbed of innovation as process engineers around the world seek to identify new fab friendly materials with unique etching characteristics (new colors)7 and improve the etch selectivity between materials that are already available to enable future scaling.
SELECTIVE DEPOSITIONS
Self-aligned integration schemes can provide critical leeway in terms of relaxing EPE requirements, but there are also detriments or trade-offs inherent in these schemes. In order to incorporate high k and metal gate (HKMG) stacks, device makers adopted replacement gate integration schemes in which chemical mechanical polishing (CMP) is used to “open” the top of a sacrificial polysilicon “dummy gate” so that it can be removed and replaced with a HKMG stack after source/drain activation.24,25 No process yet developed has perfect uniformity across the wafer, nor perfect tolerance of varying pattern density, underlayers, etc., and CMP is no exception to this rule. Integrators needed to ensure that the top of the dummy gate was opened over the entire wafer and thus needed to add height to the dummy gates to allow for overpolishing, while also ensuring sufficient remaining gate height after CMP. This CMP overburden results in a dummy gate stack that is taller than one would encounter in a traditional gate first integration scheme for poly/SiON gate stacks. The additional gate height also results in the use of a thicker hard mask for patterning the dummy gates. When device makers began to adopt 3D fin style field effect transistors (finFETs) at the 22 nm node, they needed to add still more height to the dummy gate and hard mask to account for the fin height and additional etching needed to clear dummy gates and sidewall spacers from the side of the fins. SAGC integration requires adding still more CMP steps to the process flow, as well as building in additional CMP overburden. But dummy gates and hard masks cannot be made infinitely tall, while resting on a base that is currently only ∼20 nm wide (which is the approximate gate length) and getting smaller with every generation. Even if etching can be made perfectly vertical, the structures eventually start to fall over due to mechanical instability. And cleaning processes are made more difficult due to capillary forces. This problem is a general problem for the industry as most self-alignment schemes require additional CMP steps, and self-aligned 3D circuit and device integration lead to an explosive growth in the aspect ratios encountered during integrated circuit manufacturing.
Slowing the vertical growth of hard masks and other sacrificial structures is one of the key benefits that process engineers are trying to achieve with selective depositions. The mechanism of this benefit is illustrated graphically for a generic SAGC scheme in Fig. 4. The required CMP overburden is directly proportional to the amount of material that the CMP process needs to remove, so if one can reduce the amount of material that needs to be removed by incorporating a selective deposition then the amount of CMP overburden can be significantly reduced. But this is only one example of the benefits device makers can potentially realize from selective deposition processes.
(a) Metal on metal and dielectric on dielectric selective growth modes. (b) Illustration of how selective deposition can be used to reduce CMP overburden in an SAGC integration scheme. The final desired stack height and cap thickness (indicated by dashed and dotted lines, respectively) can be attained using both non-selective and selective process flows. The selective process flow requires a significantly shorter starting stack height because the CMP process is used to polish away a much smaller overburden of the capping material.
(a) Metal on metal and dielectric on dielectric selective growth modes. (b) Illustration of how selective deposition can be used to reduce CMP overburden in an SAGC integration scheme. The final desired stack height and cap thickness (indicated by dashed and dotted lines, respectively) can be attained using both non-selective and selective process flows. The selective process flow requires a significantly shorter starting stack height because the CMP process is used to polish away a much smaller overburden of the capping material.
The most common type of selective deposition under investigation currently is material selective deposition, which is commonly called area selective deposition (ASD). Speaking in general terms, ASD uses chemical specificity to selectively deposit a film on a target material without depositing on other materials which may be exposed during the deposition. Selective epitaxy is a well-known example of ASD that has been used in HVM by chip makers for decades.26 The signature advantage of ASD for device makers arises from the fact that ASDs are inherently self-aligned to the target material. In order to maximize the utility of ASD, it is necessary to develop a toolbox useful for electronic materials.
Generally speaking, there are three basic types of materials used to form integrated circuits: dielectrics, semiconductors, and metals (i.e., conductors including metal nitrides). The aforementioned selective epitaxy process is an example of selective deposition of semiconductors on semiconductors. And selective depositions of metals on metal substrates are relatively well known for fab friendly metals; however, integrators are seeking a combinatorial approach to enable future scaling. Thus, selective dielectric on semiconductor, dielectric on dielectric, dielectric on metal, and metal on dielectric depositions are all under active investigation for various applications. There are a variety of methods that process engineers are exploring in order to make these processes a reality. In some cases, thermodynamics or chemistry can drive a deposition to be inherently selective.27 Selective metal on metal depositions28,29 and selective epitaxy30 frequently fall into this category. In other cases, an inherently selective deposition reaction may not be readily available, but one can envision selectively blocking nucleation on non-targeted materials using selective self-assembled monolayers (SAMs) or some other selective chemical treatment and thus rendering a non-selective deposition selective.31,32 Realizing this “integrators toolkit” will enable future scaling beyond the limits of lithography and could pave the way for changing the patterning paradigm as well.
Another form of selective deposition is deposition with selective anisotropy, essentially shape selective deposition. This can be viewed as the deposition complement to anisotropic etching, and just as in the etch case, it can be realized by plasma based processes and processes that combine etching and deposition to realize the desired result. The combination of ion bombardment from plasma processes to impart vertical biases and incorporating a concurrent etch back for trimming can enable shape control of an anisotropic selective deposition, Fig. 5(a). Of course this is not limited to depositions on a planar surface, in fact it is perhaps more important when considering trench or gap fill applications.33 For instance, air gaps between metal lines may be formed by deliberately depositing a dielectric with poor conformality.34 In most cases, however, voids are undesirable so integrators are frequently required to find processes capable of filling re-entrant structures without any void formation or pinch off. Even if no void forms, particles can be trapped in seams and the variation in density across a seam can result in a different etch rate. Thus, processes that can effectively fill structures from the bottom up with metal35 and with dielectric36 are under intensive investigation, especially if area/material selectivity can be realized simultaneously, as it frequently can.37
(a) Model showing shape control of selective deposition by tuning deposition and trimming bias. (b) Illustration of the principle of bottom up pattern transfer using complementary selective depositions in a relay fashion.38
(a) Model showing shape control of selective deposition by tuning deposition and trimming bias. (b) Illustration of the principle of bottom up pattern transfer using complementary selective depositions in a relay fashion.38
If depositions can be developed with sufficient selectivity, they offer the promise of opening up a new patterning paradigm effectively bypassing the lithography bottleneck. This is because selective depositions enable one to transfer the information already on the chip vertically upwards, enabling an additive manufacturing process. Consider a hypothetical pair of selective depositions for dielectric on dielectric and metal on metal isotropic ASD. In order to transfer a pattern up, one could run them in a relay fashion ∼5 nm dielectric (on dielectric) and then ∼5 nm metal (on metal), Fig. 5(b). By optimizing the number of cycles and ordering, one can effectively transfer the pattern upwards with perfect alignment to the underlying pattern. Working within a self-aligned grid system, this type of bottom up pattern transfer could be used to minimize mask counts for lithography, gain additional room for EPE, and minimize CMP overburdens simultaneously.
SELF-LIMITED PROCESSES
While we are just entering into atomic scale in terms of feature size, at the module and device level, controlling functional film formation on the angstrom level has been a requirement for decades. This is because functional film stacks incorporated into a given component are required to fit within the smallest feature sizes on the chip. For interconnects, not just the metal (e.g., Cu or W), but also any liner and/or diffusion barrier needs to fit within the area of a via and perform reliably for years, while minimizing RC delay. For metal-semiconductor contacts minimizing specific contact resistivity, while simultaneously maximizing contact area within the allowed feature size is required. And at the device level, maximizing capacitance and thus carrier density/charging while minimizing parasitic leakage and capacitance is required. Every component is made of up of stacks of functional films with a known and specified combination of physical and electrical properties such as thickness, dielectric constant, resistivity, etc. Simply measuring film thickness at this level accurately is challenging, so a process control is achieved by using processes that are self-limited, or at least quasi-self-limited. The most obvious example in use today of self-limited processes is atomic layer deposition (ALD), which relies on sequential self-limited surface reactions to build a film one layer at a time.39 Typical growth rates for a well-behaved ALD are on the order of 0.5-1 Å/cycle (roughly a third of a monolayer), with a great deal of variation possible including catalytic,40 quasi-self-limited and plasma-enhanced variations.41,42 ALD enables the control needed to deposit a 15-20 Å thick high k layer for HKMG with <1 Å variation4 and also provides the thickness and roughness control needed for the spacers in SAMP schemes where the spacer thickness and variation determine the line width and variation, respectively.31
Etch is following in the footsteps of deposition development, and a myriad of atomic layer etch (ALE)23,43 processes are under investigation or active development. Like ALD, ALE relies on self-limited surface reactions driven by surface chemistry. So both ALD and ALE are inherently amenable to being surface/material selective, i.e., area selective ALD, and they both provide atomic level thickness control. In fact, ALD and selective etches like sequential etch, ALE, and quasi-ALE have a synergistic relationship—in that they create new potential applications.44 A simple example is the use of selective etching to clean up unwanted nucleation sites after selective deposition.45
Another example is the use of anisotropic sequential etch to create ultra-thin sidewall spacers by etching a previously deposited high k film, such spacers may be employed as vertical etch stops, spacers, or hardmasks,38 Fig. 6. Ultimately integration engineers would like to have a variety of processes to choose from including selective, non-selective, isotropic, and anisotropic processes for both functional and sacrificial materials (colors), and all with atomic level thickness and variability control, representing an area ripe for new innovations. But of course, the need for specific processes is driven by the specific requirements of the application at hand. For instance, 3D vertical nand makers are widely expected to develop stacks with >100 layers of devices for HVM in the near future, and logic makers are expected to adopt gate all-around transistors. Both of those changes will result in new challenges for process control. For one thing, ALD high k dielectrics will be required to coat much higher aspect ratios in both devices. There will be more multi-patterning and self-aligned processes required, which means more benefits to selective processes.
ALD followed by anisotropic sequential etch enables the creation of ultra-thin sidewall spacers.
ALD followed by anisotropic sequential etch enables the creation of ultra-thin sidewall spacers.
FUTURE INTERCONNECTS
Interconnects are challenged both in terms of scale and patterning, but also in terms of fundamental material performance. The RC delay from contacts and interconnects is now surpassing device delay as the rate limiting step in terms of circuit delay. As linewidths scale, the currently ubiquitous Cu/Ta seed/TaN barrier and W/TiN liner metallization schemes suffer from two deleterious effects. First, as linewidths reach atomic scales, resistance begins to increase sharply and tends to be dominated by scattering related to crystal grain boundaries and interface roughness. Thus tungsten lines used for local interconnect layers at the device level must be replaced with lower resistivity metals and thinner barriers, and at 15 nm and below scales, this means that other metals, for example, Ru and Co, may provide lower resistance than Cu as well for fine pitched interconnects.46 In addition, copper requires a diffusion barrier, a seed layer, and recently has also required a capping or liner layer to increase electromigration resistance. Unfortunately the barrier, seed, and liner are usually relatively high resistivity metals and can be expected to take up to 30% of the linewidth of a 10 nm line. Device makers have adopted Co caps on Cu lines to counteract increasing electromigration as linewidths scale,47 and since Co suffers less than Cu at scaled linewidths, this has led to using Co for local interconnect at the M0 and M1 levels as well,20 but Co lines also require a liner or diffusion barrier, albeit a thinner liner/barrier than needed for W or Cu. A metal such as ruthenium could alleviate both of these issues by providing a lower resistance than Cu at scaled linewidths along with electro-migration resistance without a liner.48 Anisotropic and selective filling of ruthenium metal therefore represents an interesting option as linewidths scale and has the added advantage of additional thermal tolerance compared with other metallization options which can enable buried power rails as well as monolithic 3D integration schemes for continued scaling.
In addition to increasing resistance, device makers are faced with the problem of increasing capacitance between metal lines that are continually getting closer together. The solution harnessed in the past has been to adopt interlayer dielectrics (ILDs) with lower k.49 In order to reduce the k further, device makers and chemical/equipment vendors then introduced porosity into the dielectrics—effectively diluting the k of carbon doped SiO2 (∼3) with the dielectric constant of air (∼1).50 This of course has resulted in a lower k but has also further exacerbated the problems associated with low mechanical stability of the dielectric. In addition, as the porosity of the dielectric increases, the pores become interconnected and provide an easy path for diffusion and trapping of process gases, which can result in increased defectivity. Recently, device makers have begun using targeted air gaps between tightly spaced interconnect lines to provide an ultra-low k where it is needed most.20 This strategy recognizes that there are particular places, such as between tightly pitched metal lines, where low k dielectrics can be targeted to provide the most benefit. By targeting those areas, it should be possible to use dielectrics with higher mechanical strength around vias and above or below the interconnect lines in order to provide the needed support for the lines and thus reducing the capacitance of the stack overall, while maintaining enough mechanical integrity to survive integration and patterning intact.
In addition, processes need to be developed for low k dielectrics with better mechanical stability. A dense low k with k < 2.5, good mechanical stability that is fab friendly and amenable to etching/patterning would enable future scaling as well by providing the needed mechanical support for air gap structures. Alternatively, it might be possible to increase the mechanical strength of porous low k dielectrics. Current porous low k films rely on randomly trapping molecules of a porogen in the dielectric and then removing the porogen later to leave behind essentially randomly distributed pores in the material. The size and density of the pores can be controlled by the amount and choice of porogen, but the structure is essentially random. Thus, researchers are working to develop porous carbon-doped SiO2 films that have structured pore networks designed to be non-interconnected and to retain as much mechanical strength as possible.51,52
Future options for solving the interconnect delay problem are also on the horizon. For example, photonics offer the possibility of low loss and high speed for global interconnects, and 2D materials or nanotubes could provide good conductance without scattering at single nm and below thickness scales.
FUTURE CONTACTS
Contacts represent a universal problem in that every major device type requires a metal/semiconductor contact. Of course, the different device types impose different process constraints on contact formation and geometry, so a lower contact resistivity for one device type does not necessarily apply to another device, but generally the problem is the same for all of the devices. First, leading edge devices are currently using doping levels that can exceed the solid solubility limits for dopants in the semiconductor of interest, so we can no longer easily lower contact resistance by simply increasing the doping. This means integrators are forced to engineer the contacts to minimize Schottky barrier heights and maximize the contact area in order to deliver a functional contact with sufficiently low resistance. The move to 3D devices has resulted in extremely high aspect ratios during integrated circuit manufacturing, which results in higher parasitic capacitances between gates and source/drain contacts as well as reduced metal-semiconductor contact area, and also means that line-of-sight technologies like ion implant are becoming more difficult or even impossible to use. In order to mitigate these effects, new process flows are required that can enable wrap around contact structures to maximize contact area at ultra-low specific contact resistivity, while at the same time incorporating low k or air gap spacers to reduce parasitic capacitance.
In addition, new etch, clean, and implant processes are required which do not rely on a line of sight to the contact area. For example, solid source doping was revisited in recent nodes for counter doping the fin body and could be useful for forming shallow doping wells53 in active devices as well. Similarly, conformal deposition of low workfunction metals needed for low resistivity silicide contacts is currently replacing PVD deposition of such materials.20 The conformal deposition of Ti metal by ALD, coupled with a conformal self-limited clean, was recently demonstrated to enable wrap around contact structures with specific contact resistivity suitable for 7 nm and beyond technology nodes.54 Somewhat surprisingly, this result showed that by replacing PVD Ti with an ALD Ti process, it was possible not only to realize a conformal wrap-around structure that maximizes contact area but also to eliminate a pre-amorphization implant needed to reach low resistivity with PVD Ti; thus, two lines of sight processes could be replaced with a single ALD process and result in a lower resistance contact to N-type Si FETs in fewer steps.
Of course, contact resistance will continue to be an issue in every future manufacturing node, especially as new devices are introduced. However, device makers will need to identify new types of devices that offer advantages over Si, even if just for specific applications, in order to continue PPAC scaling for future microelectric systems. Si technology is not likely to be abandoned anytime soon, but device makers are contemplating a host of potential devices that could make use of alternative semiconductor systems. For any new device, forming low resistance contacts is likely to represent a key challenge that could preclude the device from being utilized, but the device performance must also exceed what can be accomplished within the current Si-based semiconductor paradigm in order to be useful.
FUTURE DEVICES
Moore’s law has in many cases been interpreted as dictating device area scaling, but the 3D nand devices currently in manufacturing prove that under the right circumstances it is more economical to stack larger devices vertically to achieve bit cost scaling than to continue scaling a two-dimensional device area in an attempt to double areal, rather than volumetric device density. It is easier to achieve monolithic 3D style of scaling for a memory because it can be constructed as a regular repeating array of cells, whereas logic chips require a library of standard cells arrayed together to create the random logic areas of an SOC or microprocessor. Nevertheless, device makers are seeking out ways to scale logic systems vertically. The benefit of monolithically integrating embedded memory with logic has already been realized; however, atomic scale feature sizes are causing stochastic and quantum phenomena to become more and more a fundamental roadblock to device operation and variability.
In addition, the ability to continue the downscaling of operating voltages in order to achieve lower power operation is complicated by the need to maintain enough overdrive to reach a usable drive current for the devices. MOSFETs have made up the backbone of the semiconductor industry for decades, but now they are reaching fundamental limits preventing further scaling. Because MOSFETs require a thermionic carrier emission into the channel of the transistor, they are limited by Boltzmann kinetics to a 60 mV/decade subthreshold swing (SS). If operating voltages cannot be scaled further, then achieving node to node scaling benefits will become extremely difficult if not impossible within the next decade.
In order to overcome the limitations imposed by the required threshold voltage (Vt) and Boltzmann kinetics, new devices are being sought that can realize steep Id-Vg slopes, or in other words SS < 60 mV/decade. Several emerging devices are contemplated which could achieve this goal. A leading example of such a device is the so-called Tunnel FET (TFET).55 Another style of a steep slope device under consideration is the negative capacitance FET (NCFET), first proposed by Salahuddin and Datta which makes use of a ferroelectric gate dielectric.56–58 Even if NCFETs are never realized in manufacturing, ferroelectric gate and capacitor dielectrics could result in new low power or high speed memory types and non-volatile logic devices. Such devices have the added advantage of being integratable within the current Si manufacturing paradigm without introducing new exotic materials.
A host of new materials and processes will be required to realize these devices, as well as other new prospects. Integrating SiGe, Ge,59 and III-V semiconductors such as GaAs, InP, and GaN onto a Si platform60 could enable embedded RF devices,61 as well as TFETs in future systems on a chip.62 2D materials such as graphene and transition metal dichalcogenides (TMDs) may be required in order to realize TFETs with attractive operating characteristics.63 In addition, 2D materials could be used as channels for highly scaled MOSFETs because their atomic scale body thickness enables gate length scaling below current limits.64,65 And graphene could provide an ultra-thin diffusion barrier for Cu that has the added benefit of reduced surface scattering within the wires.66 However, the fabrication of such materials is not yet approaching the requirements that will be needed for manufacturing. Wafer scale growth processes with sufficient purity and sufficiently low defect densities need to be developed before we will be able to take advantage of the promise of 2D materials in semiconductor devices.67,68
The recent discovery that relatively thin films of doped hafnium oxide can be made ferroelectric under the right conditions has created new interest in the possibilities for ferroelectrics.69 Because hafnium oxide is so well known to integrators, and because it is possible to make ferroelectric films that are 5 nm or thinner with doped hafnium oxide, integrators are racing to see how logic and memory devices incorporating these films perform. It remains to be seen how far these films can be scaled down while still achieving the ferroelectric effects, so significant work remains in order to utilize these films in future devices, but they have so far shown intriguing possibilities.70
BEYOND VON NEUMANN
Modern technology can sometimes seem magical even to those who have an understanding of how it operates, but while our computers can perform some tasks far better than a human, the human brain is quite certainly still well beyond what we can achieve in terms of technological complexity—and it operates using a different computing paradigm entirely from the Von Neumann architecture used in modern chips. Neuromorphic architectures71 are not the only form of interconnected networks one may envision—and various architectures may come with their own unique advantages for artificial intelligence, encryption, etc. We currently spend a significant amount of effort fighting against quantum phenomena, but TFETs take advantage of them. What if we could realize quantum computers that can perform multiple calculations in a single pass? Such things are visible on the horizon for the semiconductor industry.72,73 Beyond the next decades, we can expect a proliferation of new technologies. Many technologies will be abandoned along the way, but some will inevitably succeed and be adopted. While we may not be able to predict the successes and failures ahead of time, there is no shortage of potential candidates worth investigating.
ACKNOWLEDGMENTS
The authors wish to thank their colleagues and staff at TEL Technology Center, America, LLC, and throughout the Tokyo Electron Group of companies for their assistance and support.