R. Ramesh(*rr73@rice.edu)

1. Summary

This article is written on behalf of many colleagues, collaborators, and researchers in the field of advanced materials who continue to enable and undertake cutting-edge research in the large field of low power computing. What I present is something that is extremely exciting from both a fundamental science and applications perspective and has the potential to revolutionize our world, particularly from a sustainability perspective. To realize this potential will require numerous new innovations, both in the fundamental science arena as well as translating these scientific discoveries into real applications. I hope this article (and this roadmap) will help spur more fundamental as well as translational research within the broad materials community.

2. Introduction

Before I get into the specific topic of this paper, namely energy efficiency in computing, it would seem to make sense to give a broader energy perspective. In 2010, I was asked by Energy Secretary Steve Chu to join him in the U.S. Department of Energy to lead and articulate the DOE Sunshot Initiative. The name “Sunshot” was coined to bring back memories of the original “Moonshot” Initiative, which led to the Apollo program and galvanized the U.S. into action in the space race. Sunshot was meant to galvanize a different generation, to focus on clean energy, and in doing so, to solve the biggest problem of our lifetime, namely climate change. In 2010, solar electricity was about 5× more expensive than electricity from fossil fuels (for example, the levelized cost of electricity in the U.S. was ∼5 c/kWh in the wholesale market compared to that of solar, which was ∼27 c/kWh, leading to the factor of 5× difference). The Sunshot challenge, therefore, was this: how does one bring the cost of solar electricity down by a factor of 5×? I note that a 5× reduction of the cost of any technology in a commodity market with small margins is extremely difficult; indeed, this is one of the main reasons that the incumbent technologies are so difficult to displace since they have already built up the economies of scale and captured market share. Furthermore, the challenge from Secretary Chu was that we should use the power of science and technology to solve this rather than revert to policy pathways. Therefore, we needed a holistic approach, bringing together innovations on the hardware side as well as in market transformations and manufacturing. The cost of solar electricity has come down dramatically over the last decade, reaching the Sunshot target well ahead of the originally set 2020 target (REF: U.S. Department of Energy). Of course, this dramatic drop in the cost of solar electricity was aided by the corresponding drop in the prices of solar panels due to large scale manufacturing in China. Sunshot was thought to be a success of the Steve Chu administration, perhaps mainly for the fact that an aggressive target was set by the federal government and a clear game plan was articulated and executed. A broader impact of the Sunshot Initiative manifested itself during the recent transition to the Biden–Harris administration in 2020–2021. I had the opportunity to participate in the transition team, focused on the Department of Energy. In doing so, I had the privilege of working with some amazing scientists, engineers, and policymakers in the Energy Transition Team on identifying the top priorities, given the administration’s focus on climate change, eradicating the COVID-19 pandemic, creating a more environmentally just investment, as well as building back jobs and manufacturing. Based on our learning from the Sunshot Initiative, we proposed a set of “Earthshots,” focused on large, tough problems in energy and climate change. All five proposed Earthshots had measurable, quantitative success metrics. One of them focused on a 1000× increase in energy efficiency in microelectronics. The rest of this article (and this roadmap) tries to capture the science and technology challenges required to bring the energy consumption down in microelectronics.

a. The macro-systems perspective.

We begin the discussion from a broad, macro-systems perspective. Microelectronics components and systems form an ever-increasing backbone of our society. Computing devices have pervaded many parts of our daily life, for example, through a host of consumer electronics systems, providing sensing, actuation, communication, and processing and storage of information. All of these are built upon an ∼$570B/year global market that is growing at a steady pace of ∼15% annually.1,2 Many of these innovations started as materials research ideas, often first discussed within the hallways of the many physics and materials conferences worldwide. The emergence of a few new global phenomena will change this landscape dramatically. The first among them is the notion of the “Internet of Things” (IoT),3 “which is the network of physical devices, vehicles, home appliances, and other items embedded with electronics, software, sensors, actuators, and connectivity that direct integration of the physical world into computer-based systems, resulting in efficiency improvements, economic benefits, and reduced human exertion.”4 Therefore, it is not inconceivable that every modern building will be outfitted with millions of sensors and actuators that can dynamically optimize the energy consumption dynamics of that building. Similarly, a modern automobile has many sensing, communicating components embedded. While still in its infancy, it is possible that driver-less automobiles, for example, will be a routine aspect of our life twenty years from now.

The second major phenomenon is the field of machine learning (ML)/artificial intelligence (AI), which is taking the technology world by storm. It uses a large amount of statistical data analytics which, in turn, provides the computing system the ability to “learn” and do things better as they learn, not unlike normal human beings. While there are several scientific disciplines that come into play, of relevance to us is the fact that microelectronic components are critical underpinnings for this field.

b. Do we need a new paradigm for computing?

We can now ask the question: how do these global phenomena relate to microelectronics and, more importantly, to new materials? Or stated in a different way, what can materials physics do to enable this coming paradigm shift? To put this into perspective, we now need to look at the fundamental techno-economic framework that has been driving the microelectronic field for more than five decades. This is the well-known “Moore’s Law,” which underpins the field of microelectronics through the scaling of CMOS-based transistors (Fig. 1). Broadly, it states that the critical dimensions of the transistor shrink by 50% every 18–24 months. At their inception, CMOS transistors were “macroscopic,” with a critical dimension well over 1 μm. In 1974, a path to shrinking such transistors at constant power density was proposed5–7 and was followed for the next 30+ years. At present, however, this so-called Dennard scaling is no longer sustainable while the critical dimensions of modern transistors are rapidly approaching sub-10 nm scales, the point at which both the fundamental science (i.e., classical electron dynamics) no longer suffices to adequately understand operation and ever more complex manufacturing issues must be addressed. In the past 5–8 years, there has been an ever-increasing sense that something has to be performed about the energy efficiency of computing.8–12 

FIG. 1.

A manifestation of Moore’s Law, leading to the doubling of the number of transistors on chips every ∼2 years.

FIG. 1.

A manifestation of Moore’s Law, leading to the doubling of the number of transistors on chips every ∼2 years.

Close modal
c. Energy efficiency in computing.

As if this combination of challenges was not enough, we have yet to introduce perhaps the single most important aspect into consideration: energy consumption (Fig. 2).13 Of the many issues modern technologists must address, the one we highlight here has the potential to be the most impactful from a sustainability perspective, namely energy. The energy consumed per logic operation, which in today’s CMOS transistor is of the order of 50–100 pJ/logic operation (note that this actual number may be debated, but it remains that the energy consumed is of the order of pJ/operation). For the sake of discussion, let us assume that there is no change to this number soon but, at the same time, the demand for and consumption of microelectronic components in IoT and AI/ML will grow exponentially. Consequently, it is quite conceivable that the total energy consumption in all microelectronics could grow to ∼25% of primary energy by 2030.14 At present, it is of the order of 5%–7% and, therefore, is not of great concern, especially in contrast to sectors such as buildings, which consume ∼38% of the total energy consumption, or transportation, which consumes ∼24% (fractions noted here are for the United States). At the scale of ∼25% of primary energy, microelectronics would become a serious component of the worldwide energy consumption mix and, therefore, deserves to be addressed from the energy efficiency perspective as well. Therefore, these three global phenomena, namely the emergence of IoT and AI/ML as well as the end of Moore’s Law (including aspects of dimensional constraints and total energy consumption in microelectronics), form the backdrop for our discussion as we ask: what can we do with new materials physics?

FIG. 2.

A plot of the fraction of primary energy consumed in microelectronics for three different scenarios. The plot in red is for the status quo, and the lower plot in red is for beyond CMOS @ 1 fJ/logic operation. The plot in green is for beyond CMOS at 1 aJ/logic operation.

FIG. 2.

A plot of the fraction of primary energy consumed in microelectronics for three different scenarios. The plot in red is for the status quo, and the lower plot in red is for beyond CMOS @ 1 fJ/logic operation. The plot in green is for beyond CMOS at 1 aJ/logic operation.

Close modal
d. The opportunity for new materials science leading to technology.

The microscopic behavior of the electronic charge in a CMOS transistor is governed by the Boltzmann distribution [Figs. 3(a) and 3(b)].15 A quick analysis shows that the current changes exponentially with voltage, with a slope of 60 mV/decade of current,12 termed the “Boltzmann Tyranny,”1,11 since the Boltzmann physics is imposed on the functioning of the actual device. In real transistors, this voltage slope is typically larger. This fundamental behavior is central to the performance of the transistor, both in terms of the voltage required and the energy consumed in the process of operating the transistor. In recent years, there has been the realization that the Boltzmann Tyranny needs to be addressed—thus the need for new materials and material phenomena. One proposed pathway is to use materials exhibiting a metal-to-insulator transition, such as in correlated-electron systems. Under ideal conditions, a metal-to-insulator transition can be very abrupt. Another key realization, which is described in a seminal review,1 identifies the broad class of quantum materials as possible candidates to overcome this tyranny, mainly through the insertion of additional, internal interaction energies into the Boltzmann distribution, Figs. 3(c) and 3(d). For example, this could be the exchange interaction in a ferromagnet or the dipolar interaction in ferroelectrics. In its simplest form, such an interaction can be represented by an additional term in the Hamiltonian that represents the exchange interaction energy for a magnet given by Eex = −J · S1 · S2, where J is the exchange integral and S1 and S2 are the two neighboring spins (or the corresponding dipolar energy in the case of a ferroelectric). This term then becomes the key component within the Boltzmann distribution function, and it modifies the energy landscape. In simpler terms, the exchange energy (or the dipolar energy in a ferroelectric) makes the spins (or the dipoles) align collectively without the need for an external source of energy. Therefore, if one could use spin or a spontaneous dipole as the primary order parameter rather than merely the electronic charge in a CMOS device, one could take advantage of such internal collective order to reduce the energy consumption. Indeed, this is the premise behind two recent proposals,1,10 where the rudiments of a possible magneto-electric spin–orbit (MESO) coupled memory-logic device are discussed. While many parts of this device require further detailed study and innovations, one aspect that we will focus on pertains to advanced materials and electric-field control of magnetism.

FIG. 3.

(a) A schematic of a Si-CMOS channel with the “Boltzmann Tyranny” equation at the bottom. (b) A schematic Id-Vg plot for a standard CMOS channel (in green) vs that with a ferroelectric gate (in red). (c) Possible pathways to incorporate the spin degree of freedom (ferromagnetism), breaking time reversal symmetry, dipolar order breaking spatial inversion symmetry (ferroelectricity), spontaneous strain (ferroelasticity), and spontaneously broken time and inversion symmetry (ferrotoroidicity).

FIG. 3.

(a) A schematic of a Si-CMOS channel with the “Boltzmann Tyranny” equation at the bottom. (b) A schematic Id-Vg plot for a standard CMOS channel (in green) vs that with a ferroelectric gate (in red). (c) Possible pathways to incorporate the spin degree of freedom (ferromagnetism), breaking time reversal symmetry, dipolar order breaking spatial inversion symmetry (ferroelectricity), spontaneous strain (ferroelasticity), and spontaneously broken time and inversion symmetry (ferrotoroidicity).

Close modal

This forms the backbone for the articles in this roadmap, which is formatted into three sections. The first section provides some broad perspectives of a field that is moving rapidly. Some examples provide illustrations for how one could approach energy efficiency in computing. The second section focuses on specific technology pathways, again focusing on energy efficiency. The third section details the challenges and opportunities in processing and metrology as the various technologies progress toward high energy efficiency.

Sayeef Salahuddin (*sayeef@berkeley.edu), Suman Datta (*sdatta68@gatech.edu)

1. Introduction

The need for information processing has been accelerating over the last few decades. Computing now impacts every aspect of human life and is a critical enabler in the battle against the most pressing challenges of our time such as in health care, sustainability, poverty, and world hunger. As big data analytics become increasingly mainstream for automation and efficiency, the need for computing is only going to accelerate. However, computing is not free. When cryptocurrency mining became popular, the energy bill that the mining machines were generating increased exponentially. In fact, after the early years, the prohibitively expensive energy bills made crypto mining economically unsustainable for many. While the cryptocurrency miners brought the issue of energy consumption in powerful computers to the attention of the rank and file and to the community of researchers in the computing field, this has already been known and a matter of intense research since the early 1990s. Indeed, power consumption is why clock frequency scaling ended in the early 2000s and computers pivoted toward multi-core architecture. A recent study16 by the Semiconductor Research Corporation (SRC) shows that the rate of increase in the energy used for computing is significantly larger than that of world energy production, and the computing energy is slated to account for a significant percentage of the world’s energy consumption by the early 2030s. This underscores the need for a substantial lowering of energy in computing hardware.

2. Fundamentals of energy dissipation in electronics

There remain significant opportunities for optimization in computing architecture that lowers overall energy. Indeed, in recent years, a rethinking and re-optimization of software, algorithms, and hardware architecture at or near the top of the computing stack have yielded substantial gains in energy and performance.17 In this article, we focus on the technology aspects—the basic building blocks of the computing hardware at the bottom of the computing stack—where an improvement in energy efficiency acts as a multiplier to any gain achieved through architectural design optimization. Interestingly, recognizing the fact that the computer is essentially an R–C circuit (R: resistance, C: capacitance), the opportunities for optimization can all be identified as enabling physics, materials, and transistors that improve energy, CV2 (V: operating voltage), and delay, CV/I (I: current). In short, one desires lower C, lower V, and larger I. It should be noted that the capacitance of the wires dominates the capacitance of the transistors; as a result, the transistor capacitance itself does not contribute significantly to the overall energy consumption. On the other hand, the operating voltage is determined by the voltage needed to operate the transistors. Therefore, the V and I are dictated by the transistors whereas the C is dictated by the wires. It should be noted, however, that the delay, CV/I, is affected by the transistor capacitance because the device capacitance will often determine the logic depth that can be supported for a given speed.

3. Potential pathways to energy efficiency

Intrinsically, V is determined by two requirements. Above the threshold, a certain voltage is necessary to reach the desired ON current, or I, which is a product of the capacitance (gate oxide capacitance) and velocity. Substantial research culminated in the adoption of high-κ metal gates around 2008, enabling the devices to reach very large gate capacitance.18 However, improvement in gate capacitance has stalled since then. Essentially, further reduction of the thickness of the high- κ HfO2 layer and/or reduction of the interfacial SiO2 layer (IL) leads to an unacceptable increase in leakage and/or degradation of reliability. Recently, ferroelectric–dielectric superlattices have been shown to significantly increase gate capacitance through the Negative Capacitance (NC) effect beyond classical HfO2 based gate oxides without degrading leakage or reliability.19 This shows a pathway toward reducing V. Further improvement through the NC effect and improvement of the Si/SiO2 interface chemistry to enable a thinner IL should substantially reduce the voltage requirement. Increased gate capacitance has the added benefit of reducing the short channel effect that lowers the OFF current, a topic that we address next.

A second requirement on V comes from the OFF current (IOFF) considerations—the voltage swing needed below the threshold to reach a desired IOFF. In this context, tunnel FETs that can filter off the high energy tail in the Boltzmann distribution of carriers injected from the source into the channel and, therefore, provide a lower IOFF at the same voltage swing have been explored for many years.20 Indeed, recent results show the efficacy of this approach, at least for compound semiconductor devices,21 albeit with lower on-state current (ION). The NC effect, when it is strong enough to overcome the capacitance of the interlayer (IL) dielectric, is also expected to lead to a steeper subthreshold voltage swing. In fact, the NC effect and tunneling can be combined in the same device for a multiplicative effect. One added advantage in this approach of that the ON current of the tunnel FET can be boosted by the NC effect.22 

Of course, one way to substantially lower the voltage below threshold is to lower the operating temperature. Low temperature operation has been investigated sporadically over the last several decades with limited success. Currently, however, the simultaneous occurrence of an extreme increase in computing needs and associated power dissipation and newly available technology in terms of high gate capacitance and precise control over the threshold voltage may finally make it possible to achieve a net gain in energy and performance from low temperature operation. Estimates show that highly optimized CMOS transistors with re-targeted threshold voltages enabled via gate work function engineering, extremely thin equivalent oxide thickness, e.g., achieved via the NC effect, and low external resistance via contact engineering, when operated at T = 77 K, may indeed lead to a net gain in energy and performance after factoring in the cost of cooling.23,24

Many materials boast much larger mobility of electrons and holes than silicon. These materials could increase the on-state current and simultaneously reduce the voltage needed to reach the saturation velocity.25 However, one needs to keep in mind that the ON-current is the product of charge and velocity, and both are important. Often compound semiconductors with low effective masses are plagued by low density of states, making it difficult to obtain large currents (notably, this does not limit their transit time as that only depends on velocity). Another aspect of new materials is to take advantage of their low dimensionality such as in one dimensional carbon nanotubes or two-dimensional transition metal di-chalcogenides. Low dimensions allow better gate control for the same gate capacitance,26 which in turn can lower the voltage swing needed to reach a desired IOFF. For any channel material other than silicon, one would also need to solve the gate oxide reliability that for silicon has proved to be a critical issue and had to be resolved every time any change was made to the gate oxide. The present trend is to explore a wide range of materials in search of the best available property. Eventually, a specific material will have to be chosen, and interface chemistry and gate oxide integration, as well as threshold voltage tunability, will have to be established for technological adoption. In addition, the ability to make good contacts with new materials is also a critical research problem. More about good contacts next.

4. Extrinsic effects

So far, we have mostly discussed aspects that affect the intrinsic behavior. However, for the most advanced devices, extrinsic effects are equally important, if not more. The first is contact or series resistance. This is a fundamental challenge—as the footprint of the contact reduces with scaling, its resistance increases, increasing the voltage drop across this “unwanted resistance.” The series resistance, therefore, is a critical barrier to lowering the operating voltage. Notably, due to the band-alignment of metal and semiconductors, almost all contacts are Schottky-type, meaning they operate by quantum mechanical tunneling through the barriers. Traditionally, doping of the semiconductor has been used to reduce the width of the barrier and lower resistance. However, the time is ripe to go beyond the conventional approach. Indeed, recent efforts have explored unpinning of the Fermi level at the interface and thereby reducing the barrier height.26 For low dimensional materials, an added difficulty is the mode mismatch; whenever charge carriers leave the low dimensional material and enter a higher dimensional material or vice versa, they encounter a substantial mismatch in the number of modes that leads to resistance.27 Is it possible to exploit other mechanisms (e.g., improving the matching of density of states on either side of the interface) that can substantially improve tunneling? This seems to be well poised as a fundamental challenge for the device community.

Scaling of the gate length decreases the footprint of the gate contact, increasing the gate resistance. This is especially critical for the modern gate replacement process where the gate metal is smaller than the lithographically defined channel length. Similarly, reduction in the footprint of the contact holes increases resistance of the interconnects. Filling up very small diameter holes with a high aspect ratio while still maintaining large grain size and, therefore, reasonable resistance is another daunting challenge of today’s computing hardware. In the most recent nodes, we have seen adoption of cobalt and ruthenium as source drain contact metals.28 Improving contact resistances both for the gate metal and interconnects is of critical importance and is ripe for materials and physics innovation.29 

Continuing on with the extrinsic effects, about half the capacitance observed at the gate of a FINFET transistor comes from parasitic capacitance. For gate-all-around transistors, this ratio can in fact go up even more. Notably, the parasitic gate capacitance has no connection to the intrinsic device and does not lead to increased current, I—rather now the device will have to carry this extra “load,” leading to an increased delay, CV/I. This means that to maintain a given delay, the current, I, has to be increased, which eventually requires V to increase. Therefore, finding ways to reduce parasitic capacitance is of paramount importance. Is it possible to implement air-gap spacers30 in the transistors following what has been performed at the lower metal levels?31 

5. Back end technologies to aid energy efficiency

In the context of reducing the impact of wire capacitance on energy consumption and information throughput, another trend has recently gained significant traction—to limit data movement through the long wires that extend off-chip by monolithically integrating memory with logic transistors. The potential benefit is significant—if substantial memory can be integrated on chip, it saves the long wires with enormously large capacitance that are needed to go off-chip. Effectively, it reduces the significant capacitance of the interconnect. In addition, it may also alleviate the need to use higher voltage I/O transistors, as there is no need to supply a substantial capacitive load. This can lead to very significant savings in power consumption. While this approach does not directly rely on improving the wires themselves, practical implementation requires substantial advances in integration as all components have to be compatible with Back-End-Of-the-Line (BEOL) processing. Substantial effort is currently in place, both in academia and industry, to explore memory devices that are on-chip embeddable. Resistive random access memories and magnetic random access memories have received much attention in this regard, along with phase change memory, which is already commercial as a stand-alone memory technology.32 The ultimate benchmark for any on-chip memory is the SRAM; a substantial advance in either density or latency will be needed to make an impact in this context. With the potential for a large amount of on-chip memory, computing in memory (CIM)33 has recently garnered much interest, especially for AI workloads where CIM could substantially reduce energy and latency associated with matrix vector multiplications. This is an example where device, algorithm, and architecture are co-optimized to offer substantial system level benefit. However, for CIMs to be successful, energy associated with analog-digital conversion has to be kept small—currently considered a significant challenge.

Along the same direction, recent years have also seen substantial research in semiconducting oxide transistors as a potential cell transistor or a drive transistor34 to enable 3D integration of memory on top of the logic components. In addition to being amenable for BEOL processing, semiconducting oxides have a large bandgap that allows very low leakage current, which is advantageous for certain applications such as a DRAM transistor. However, challenges remain in terms of achieving the desired ON current while holding on to a low leakage within a small voltage swing. Another challenge is the generation of oxygen vacancies with continuous voltage cycling that may lead to substantial threshold voltage shift and accelerated aging of such transistors. In addition, a p-type oxide channel has remained elusive to date35 despite substantial research in the last few years. Nonetheless, the potential benefit for a 3D integrated oxide channel transistor is substantial, and innovations in new materials and advances in understanding of defect formation in such materials and novel defect mitigation strategies are of critical importance.

6. Conclusion

The last decade has seen a new trend in electronic devices. Going beyond just geometric scaling, functional improvement of various components by introducing new materials and novel physics is becoming increasingly common.12 There is also a growing acceptance of the need for domain specific device technology, making the flavors of devices used on a chip increasingly larger every generation (this is being accelerated even more via heterogeneous integration). These trends make the next decade particularly exciting for materials, physics, process integration, and device innovation that will deliver increasingly improved energy efficiency.

Carlos H. Diaz, TSMC (*CHDIAZ@tsmc.com)

1. Overview

The quest for sustainable growth in computing performance and expanded functional capabilities of information technology and communication (ICT) products requires energy-efficiency improvements of underlying technologies in devices, systems, architectures, algorithms and software, and information representation and processing. Bridging the gap between existing silicon nanotechnology and future VLSI needs innovations in devices and interconnect fabrics, each and all-cohesively enabling higher integration-density, performance improvements, and capabilities at lower power consumption cross-generations. Continued growth in computing capacity requires significant improvements in energy efficiency for it to be sustainable, as illustrated in Fig. 4, adapted from the SRC-SIA Decadal Plan of Semiconductors.16 Artificial intelligence (AI) is a key element in the fourth industrial revolution. Increased cognitive capabilities are key to next generation AI; attaining them while decreasing the power consumption, as illustrated in Fig. 5, will be critical regardless of application domain. The quest for the necessary energy efficiency requires innovations at all levels, from the basic technology structures and building blocks to the system architectures and algorithms, including novel forms of information representation and processing (cf. Ref. 36). Research and development monumental efforts on silicon-based CMOS technology scaling continuously raise the bar on energy-efficiency, performance, density, reliability, and cost that exploratory devices, interconnects, and novel integration concepts ought to meet to be of impactful technological value. This section discusses emerging transistors, memories, and interconnect fabrics. It highlights open research areas, the necessary completeness of the metrics in research, and associated modeling challenges to identify viable alternatives beyond the projected evolutionary paths of state-of-the-art technologies.

FIG. 4.

Sustainable computational capacity growth requires continuous technological innovations enabling necessary energy-efficiency demands.16 

FIG. 4.

Sustainable computational capacity growth requires continuous technological innovations enabling necessary energy-efficiency demands.16 

Close modal
FIG. 5.

Increasing AI capabilities toward human-level cognition hinges on energy-efficient innovations in information representation and processing and semiconductor technologies.

FIG. 5.

Increasing AI capabilities toward human-level cognition hinges on energy-efficient innovations in information representation and processing and semiconductor technologies.

Close modal

2. Transistors

Power supply scaling is a critical knob in boosting energy efficiency from generation to generation, capacitance being the other. The optimal nominal operating voltage (minimum) for a given speed goal is bounded to the left by leakage power and to the right by active power, as illustrated in Fig. 6. To retain or improve switching speeds while also reducing power supply, materials with significantly better transport properties than silicon are needed, as shown in Fig. 7. One such candidate is germanium, where research efforts on addressing critical challenges such as reliable and scalable CMOS-capable gate-dielectrics and n-type doping challenges made significant inroads, cf. Ref. 37. Transistor structures such as stacked gate-all-around channels will enable improved electrostatic control/steeper subthreshold slope than present Fin-FETs, resulting in a significant reduction of minimum operating voltages as shown in Fig. 8.38 

FIG. 6.

Power supply scaling is a critical knob for cross-generation enhancements in power scaling and energy efficiency.

FIG. 6.

Power supply scaling is a critical knob for cross-generation enhancements in power scaling and energy efficiency.

Close modal
FIG. 7.

Channel materials with significantly better transport properties key to boost drive strength and circuit speed while scaling power supply.

FIG. 7.

Channel materials with significantly better transport properties key to boost drive strength and circuit speed while scaling power supply.

Close modal
FIG. 8.

Stacked gate-all-around channel structures to enable VDD scaling beyond Fin-FETs (cf. Ref. 38).

FIG. 8.

Stacked gate-all-around channel structures to enable VDD scaling beyond Fin-FETs (cf. Ref. 38).

Close modal

Exploratory work on low-dimensional materials such as transition metal di-chalcogenides (TMDs),39 arm-chair graphene nanoribbons (aGNRs),40,41 or semiconducting carbon nanotubes (CNTs)42 seeks to demonstrate their potential for higher performance at lower operating voltages than silicon-based state-of-the-art logic transistors and their projected evolutionary paths; key metrics include CMOS capability, drive current per unit footprint, off-state leakage, reduced parasitic capacitances, and reliability, among others.

Synthesis of device-quality channel materials continues to make significant fundamental inroads. The growth of carbon nanotubes by iron-catalyzed CVD along with an electric field modulation of the semiconducting-CNT nucleation energy (electro-re-nucleation) introduced by Wang et al. established a viable path for the synthesis of high-purity semiconducting CNT arrays as illustrated in Fig. 9 adapted from Ref. 43. Further work is needed to demonstrate high purity arrays (arrays with ≪1 ppm metallic CNTs) while also supporting high density (sub 5 nm pitch) semiconducting CNTs within the array. Meanwhile, the GNR bottom-up synthesis of graphene nanoribbons from monomer precursor(s) continues to advance, cf. Refs. 40, 44, and 45, demonstrating uniform-width ribbons along the growth direction but also in proving concepts that can produce nanoribbon hetero-structures as illustrated in Fig. 10. The bottom-up synthesis of a-GNRs provides a pathway to monodisperse ribbons having atomically smooth edges, a necessary characteristic to support the projected high-performance potential of a-GNR based logic transistors. Albeit current methods may suffice to validate transport properties at the single transistor-level, further fundamental research is needed to consistently produce long-enough ribbons (>100 nm), pre-empt ribbon edge defectivity, and conceptualize and proof-concept the regular placement and orientation of ribbons in an array on a substrate.

FIG. 9.

Iron-catalyzed CVD growth of CNTs made significant inroads for the synthesis of high purity oriented semiconducting CNT arrays by the introduction of the electro-re-nucleation concept.43 

FIG. 9.

Iron-catalyzed CVD growth of CNTs made significant inroads for the synthesis of high purity oriented semiconducting CNT arrays by the introduction of the electro-re-nucleation concept.43 

Close modal
FIG. 10.

Bottom-up synthesis of graphene nanoribbons from monomer precursor(s) opened paths for their exploration toward logic high-performance low-voltage transistor applications. (a) Ref. 40 and (b) Ref. 45.

FIG. 10.

Bottom-up synthesis of graphene nanoribbons from monomer precursor(s) opened paths for their exploration toward logic high-performance low-voltage transistor applications. (a) Ref. 40 and (b) Ref. 45.

Close modal

Low resistance contacts are critical to asserting the performance potential of new transistors based on those novel channel materials. Figures 11 and 12 illustrate some of the best results to date for contacts to 2D TMDs and CNT channels, respectively, cf. Refs. 46–50. Low-resistance contacts, particularly n-type, and the thermal stability under standard CMOS processing thermal budgets and standard operating condition requirements remain outstanding fundamental research challenges that need to be addressed for contacts to these and other novel channel materials.

FIG. 11.

Chart adapted from Ref. 46. Notwithstanding reported improvements in contact resistance to 2D TMD channels, stable and CMOS compatible low-R contacts are still to be fully demonstrated.

FIG. 11.

Chart adapted from Ref. 46. Notwithstanding reported improvements in contact resistance to 2D TMD channels, stable and CMOS compatible low-R contacts are still to be fully demonstrated.

Close modal
FIG. 12.

Advances in low resistance contacts to CNT channels. Lower resistivity contacts that are also thermally stable require continued research.

FIG. 12.

Advances in low resistance contacts to CNT channels. Lower resistivity contacts that are also thermally stable require continued research.

Close modal

The unreactive nature of the surfaces in device-quality CNTs, a-GNRs, or 2D TMD channel materials constraints the formation of the corresponding gate dielectrics or interlayers to physisorption, cf. Refs. 51 and 52. This process requirement appears key to preserve the carrier transport properties that make these materials potential alternatives beyond silicon-based channels. Top-gated CNTs with ALD interlayer dielectrics produced in this way have been shown to support good subthreshold slopes of about 65 mV/dec down to 15 nm gate lengths.42 Further fundamental research is still necessary to address the scalability and reliability of corresponding high-k gate-dielectrics, their CMOS thermal budget and process compatibility, and the demonstration of associated metal gate-stacks supporting multiple work-functions.

Despite the advancements in transistor exploratory work, theoretical and experimental fundamental work that is holistic in terms of the critical transistor metrics is still required to identify true platform-viable alternatives to silicon-based CMOS transistors. Comprehensive and predictive, fundamental transport models that can realistically project on and off-state capabilities, including thermally and mechanically stable low-resistance contacts, remain imperative. In addition, the key is the reduction in turn-around time (TAT) for fundamental screening of new material synthesis and processing concepts through multi-scale modeling.

3. Memory elements

A representative compute memory hierarchy of a computing system is shown in Fig. 13. Emerging memory devices in each level of the memory hierarchy must outperform incumbent technologies on critical indices to be considered promising alternatives. Those critical indices include density, energy efficiency, speed, endurance, retention, environmental robustness, controllability, and complexity as proxy to cost/bit. Spin–orbit-torque MRAM (SOT-MRAM) fast-enough write-speeds and inherent endurance capabilities make this memory class a potential alternative to standard 6T-SRAM memory cells. In-plane (magnetization) type-Y SOT-MRAM cells, illustrated in Fig. 14, leverage the shape anisotropy of the magnetic tunnel junction stack to enable field-free write operation at relatively low write currents, cf. Refs. 53 and 54. However, type-Y SOT-MRAM cell size scalability is a fundamental challenge largely related to the requirements on shape anisotropy. As such, active research continues to be directed toward enabling field-free and low write current operation of perpendicular SOT-MRAM cells, specifically identifying and demonstrating materials with high spin generation and spin injection efficiency into the associated MTJ cell stack are paramount to meet attain write-currents that can be significantly lower than those of state-of-the-art high-density SRAM cells while supporting also tight write-error rates and magnetic immunity requirements, cf. Refs. 55 and 56. Ferroelectric memories are also the subject of active research for their high density and energy-efficiency potential;57 progress in understanding and resolution of endurance has recently been reported,58 as shown in Fig. 15.

FIG. 13.

Memory hierarchy and key research metrics.

FIG. 13.

Memory hierarchy and key research metrics.

Close modal
FIG. 14.

SOT-MRAM type-Y and type-Z cells can support fast field-free operation. Low write current density, magnetic immunity, write speed, and bit-error rates remain challenging (cf. Refs. 54–56).

FIG. 14.

SOT-MRAM type-Y and type-Z cells can support fast field-free operation. Low write current density, magnetic immunity, write speed, and bit-error rates remain challenging (cf. Refs. 54–56).

Close modal
FIG. 15.

Progress in fundamental understanding and approaches to high endurance ferroelectric memory cells has been reported (cf. Ref. 58).

FIG. 15.

Progress in fundamental understanding and approaches to high endurance ferroelectric memory cells has been reported (cf. Ref. 58).

Close modal

Emerging memory research and development demands ever increasing modeling capabilities to enable accurate, predictive, and fast TAT mapping of the design space, including process variability, bit-error-rate, retention, and endurance metrics that, along with power-performance-area (PPA) indicators, are key to assert alternative memory cells across the memory hierarchy.

4. Interconnect fabrics

The resistance of vias, via-line interfaces, and lines represents a continuous challenge to the attainable chip-level performance and energy efficiency in advanced nodes. The search for materials with the goal of 2× or larger resistance reduction over elemental state-of-the-art solutions is a critical technology challenge, yet when successful, significant power-performance benefits are expected at the corresponding inception node, as illustrated in Fig. 16 (left panel). The right panel in this figure exemplifies some promising results on very low resistivity novel interconnect material exploration.59 Inter-chip data movement (e.g., between external memory and processing units) is also an area of significant opportunities for elevating the system-level performance and energy efficiency.59,60 Scalable 3D-interconnect fabrics enabling increasingly higher intra- and cross-die connection density, as shown in Fig. 17, will be instrumental to denser VLSI systems supporting very high memory bandwidths.59,61

FIG. 16.

Novel materials beyond elemental interconnect solutions continue to be searched with the goal of seeking 2× or larger via and line resistance reduction for significant chip-level power-performance benefits at the inception node.

FIG. 16.

Novel materials beyond elemental interconnect solutions continue to be searched with the goal of seeking 2× or larger via and line resistance reduction for significant chip-level power-performance benefits at the inception node.

Close modal
FIG. 17.

3D chip-stacking integrated into 2D/2.5D advanced packaging enables combined system-level performance, power, form factor, and functionality benefit (cf. Refs. 59 and 61).

FIG. 17.

3D chip-stacking integrated into 2D/2.5D advanced packaging enables combined system-level performance, power, form factor, and functionality benefit (cf. Refs. 59 and 61).

Close modal

5. Concluding remarks

Systems with increased levels of performance, functionality, and density will require increasingly more significant energy-efficiency innovations from software to process technology. Significant progress continues to be made in exploratory devices and interconnects. Yet challenges remain to attain proofs of concept that meet complete sets of critical metrics asserting their potential over evolutionary state-of-the-art silicon-based pathways. To this end, experimental efforts compounded with a robust computational modeling framework remain imperative to efficient and effective research and pathfinding by mapping comprehensive sets of critical metrics over the relevant design space.

FIG. 18.

Schemes of the MESO logic: (left) single-ended67 and (right) differential.70 

FIG. 18.

Schemes of the MESO logic: (left) single-ended67 and (right) differential.70 

Close modal
FIG. 19.

Scheme of the COMET logic device72 and a non-volatile flip-flop.74 

FIG. 19.

Scheme of the COMET logic device72 and a non-volatile flip-flop.74 

Close modal
FIG. 20.

Scheme of the AFSOR logic device.75 

FIG. 20.

Scheme of the AFSOR logic device.75 

Close modal
FIG. 21.

Scheme of the SOTFET logic device.77 

FIG. 21.

Scheme of the SOTFET logic device.77 

Close modal
FIG. 22.

Scheme of a ME-driven spin wave device.80 

FIG. 22.

Scheme of a ME-driven spin wave device.80 

Close modal
FIG. 23.

Reproduced with permission from C. Pan and A. Naeemi, IEEE J. Explor. Solid-State Comput. Devices Circuits 3, 101–110 (2017). Copyright 2017 IEEE. Energy vs delay of a 32-bit ALU for a variety of charge- and spin-based devices. Please see the original paper for the labels of devices. The red star indicates the preferred corner.

FIG. 23.

Reproduced with permission from C. Pan and A. Naeemi, IEEE J. Explor. Solid-State Comput. Devices Circuits 3, 101–110 (2017). Copyright 2017 IEEE. Energy vs delay of a 32-bit ALU for a variety of charge- and spin-based devices. Please see the original paper for the labels of devices. The red star indicates the preferred corner.

Close modal
FIG. 24.

Benchmarking of energy vs delay of a single operation of an ALU based on CMOS (blue), TFET (red), FEFET (orange), and MESO (green) devices for a range of voltages (labeled next to corresponding dots).

FIG. 24.

Benchmarking of energy vs delay of a single operation of an ALU based on CMOS (blue), TFET (red), FEFET (orange), and MESO (green) devices for a range of voltages (labeled next to corresponding dots).

Close modal

6. Acknowledgments

C.H.D. acknowledges financial support from TSMC.

Dmitri E. Nikonov (*dmitri.e.nikonov@gmail.com), Ian A. Young (*ian.young@intel.com)

1. Abstract

The growth of computations performed in the world leads to an unsustainable growth in required energy. To avoid this crisis, dramatic improvements in the energy efficiency of computing devices must be discovered, researched, and developed. One of the most promising types of energy efficient logic devices in research is based on the use of magnetoelectric (ME) materials. The operational features of each one of these ME devices are described in this paper. Future directions of research, such as lowering the switching voltage and raising the output voltage of these energy efficient logic devices, are discussed.

2. Introduction

The tremendously successful development of information technology (IT) was enabled by the scaling of the semiconductor process according to Moore’s law.5 For the first 20 years, it relied on bipolar transistors, and for the following 40 years, on the MOSFET (Metal Oxide Semiconductor Field-Effect Transistor) and CMOS (Complementary MOSFET) transistors. The insatiable customer demand for computing, mostly internet services and AI workloads, resulted in the growth of computing operations performed in the world per year by 100× per decade.16 Even with continued computational energy efficiency improvement from Moore’s scaling, the corresponding consumed energy is growing at >10× per decade. If this trend continues, the energy demand will reach a few tens of percent of the global energy supply by 2030. Therefore, the discovery of super-energy-efficient devices and circuits (SEEDC, >100× better than CMOS)16 will be required to avoid a stagnation of IT computing performance. This requirement flies in the face of the conventional wisdom in the computer industry, where the speed of circuits (commonly referred to as “computing performance”) for single-thread computing is valued by customers. Now, instead, the energy efficiency of the computing devices is valued. At present, in most of the specifications of computer chips, one quotes “performance per power,” such as TOPS/W (tera-operations per second per Watt), which is just the inverse of the energy of switching the logic circuit for a given operation; it does not contain its speed. In addition, the potential speed of these integrated logic circuits, in turn, cannot be utilized across all computing application segments (from the data center to wearables) due to the limitations on the power delivery and the removal of dissipated heat.

Research on logic devices beyond CMOS has been underway for more than 15 years, and a significant share of this research was supported through the industry consortium, the Semiconductor Research Corporation. Such computing devices utilize multiple physical quantities other than electric ones (charge voltage, current) to hold the computing state.1 Among them are the electric dipole, spin and orbital momentum of electrons and, equivalently, the magnetic moment associated with them, strain, orbital state of electrons, intensity of light, etc. Some of them use collective states (aka “order parameter”) of multiple particles, such as ferroelectricity, ferromagnetism, ferrodistortion, superconductivity, Bose condensate, coherent states of light, etc. Some of the materials, called multiferroics, possess more than one collective state. Simulation benchmarking of a wide variety of such devices62,63 enabled researchers to identify the best pathway to computing energy efficiency—the lowering of the power supply operating voltage.

Spintronic devices, having magnetic moments as a computing variable, can be switched by a variety of phenomena. Among them is the magnetic field of a current in a wire, spin-transfer torque (the effect of spin polarized current contributing magnetic moments), spin–orbit torque (the effect of coupling of the orbital motion and spin in some materials), exchange bias, i.e., a quantum interaction due to exchange transfer of spin polarized carriers across an interface, magnetoelectric coupling in some materials, etc. Spin–orbit interaction can originate in a bulk of the material (“spin Hall effect”) or at an interface (“Rashba–Edelstein effect”). Spintronic devices were shown to operate at lower voltages. Among them, the ones relying on the magnetoelectric (ME) switching were standing out in terms of lowest energy consumption, and this was due to the fact they operate by charging a capacitor. This contrasts with various spin transfer torque devices, which require driving a current for longer time periods to ensure switching despite the thermal fluctuations on the magnetization. For a review of spintronic devices and more details on the above-mentioned phenomena, see Ref. 64. Here we present a review of the history of ME device research and attempt to map the pathways for the future. We focus on devices for logic. Various non-logic devices such as ME memory,65 ME antenna, sensors, filters, etc.66 are outside the scope of this paper.

3. Review of magnetoelectric device research

In this section, we briefly summarize the principle of operation of each device and point out their advantages and disadvantages. Advantages common to all devices are

  • non-volatility (unless there is no stable binary state, such as in spin wave devices) and,

  • low energy ME switching.

a. Magneto-electric spin–orbit (MESO)11,67 device.

MESO (see Fig. 18) comprises the magneto-electric (ME) module which writes the computing state, i.e., converts the input voltage into the direction of magnetization, and the spin–orbit (SO) module which reads the computing state.

The ME module uses a ME multiferroic such as BiFeO3. When the input voltage charges the capacitor with BiFeO3, its ferroelectric polarization switches. The antiferromagnetic order as well as canted magnetization in BiFeO3 are locked to the polarization and, therefore, switch too. BiFeO3 exerts exchange bias on an adjacent ferromagnet (FM, e.g., CoFe) and reverses its magnetization.

The SO module comprises a transistor, which drives current through the FM. The FM spin polarizes the current in the direction of its magnetization. Then a material with spin–orbit coupling (SOC) can convert the spin direction into the charge current direction via the inverse spin–orbit effect (called the inverse spin Hall effect if it occurs in the bulk and the inverse Rashba–Edelstein effect if it occurs at an interface). Therefore, the direction of the output current reads the magnetization in the device.

In recent years, a complete MESO device has been demonstrated.68 It included the demonstration of the magnetization switching by the magnetoelectric effect at ∼200 mV, the voltage generation due to the spin–orbit effect, and the process integration to fabricate the ME and SO modules monolithically in the same chip.

Advantages are as follows:

  • Favorable scaling of the energy with size, specifically the width of the FM.

  • Electrical (rather than spintronic) interconnects.

  • The output from the SO module is an electro-motive force (rather than magnetoresistance), which can charge a capacitor directly (i.e., the load capacitance of the interconnect and the device).

Disadvantages are as follows:

  • Requires a transistor to drive current through the SO module.

  • The output voltage of the SO module has been low in demonstrations up until now.

  • The FM switching speed is limited by the time of the magnetization precession (∼1 ns).

Circuit simulations of MESO69 discovered potential problems with sneak path currents and suggested solutions. Further circuit works70,71 resolved such problems by using the differential inputs and outputs of the device, which enabled electrical isolation of stages and gave deeper insights into the effects of spin transport in three dimensions and the influence of the backflow current.

b. Composite-input magnetoelectric-based logic technology (CoMET).72

The CoMET device consists of the write and read modules as well (see Fig. 19). In the write module, the ME effect in a ME ferroelectric converts the input voltage to the magnetization direction in the FM. The direction of magnetization is transmitted from the write to the read module as a motion of the domain wall. The domain walls are driven by the spin Hall effect (SHE) of the current flowing in the SHE layer under the FM. In the read module, the direction of magnetization is converted to voltage by the inverse ME effect in another ME ferroelectric. Then a sensing CMOS circuit converts the output voltage into another voltage suitable to drive the next stage of CoMET logic.

Advantages are as follows:

  • Made cascade-able by the sensing CMOS circuit.

Disadvantages are as follows:

  • Longer logic switching delay due to a relatively low domain wall speed compared to electric interconnects. (Even though the speed of domain walls as high as ∼4 km/s was demonstrated,73 the speed of electric interconnects is ∼500 km/s.).

  • Propagation of domain walls is less energy efficient than electric interconnects.

  • Output voltage in the inverse ME effect may be low.

Circuits such as a non-volatile flip-flop have been envisioned with CoMET.74 These devices can implement a complete set of logic gates [MAJ, (N)AND, (N)OR, etc.], as is the case for the rest of spintronic logic reviewed here.

c. Antiferromagnetic spin–orbit read (AFSOR)75 device.

The AFSOR device (see Fig. 20) is similar to a usual MOSFET. It uses the gate voltage to switch the electric polarization in a multiferroic ME material (such as BiFeO3). This is accompanied by switching of the net magnetization in the ME material. Unlike usual MOSFETs, the channel in AFSOR comprises a material with spin–orbit coupling (e.g., a topological insulator being an extreme case). Then carriers with spins with one orientation (labeled “up”) predominantly flow in one direction along the channel, while the spin with the opposite orientation (labeled “down”) predominantly flows in the opposite direction. When the magnetization in the ME material favors one direction of spin of carriers by lowering their energy, this results in a unidirectional conduction, i.e., lower conductance in one direction than the other. If the FE polarization of the multiferroic ME material switches, the direction of conduction is reversed.

Advantages are as follows:

  • Uses the efficient field effect for controlling current.

  • Electrical isolation of the write and read paths.

Disadvantages are as follows:

  • Need to demonstrate higher net magnetization in a ME material.

  • The degree of unidirectional conduction is low in SO demonstrations up until now.

Reliance on the unidirectional conductance requires circuits that are different from traditional CMOS ones. An example of such circuits and their benchmark is presented in Ref. 76.

d. Spin–orbit torque field-effect transistor (SOTFET).77

The SOTFET uses the SO torque to switch the direction of magnetization of a FM in the write module (see Fig. 21). The change of magnetization switches the magnetic order in the ME multiferroic, and then the ferroelectric polarization should follow. In the read module, the direction of polarization opens or closes conduction in a semiconductor channel like in a typical FET. In usual ME materials, like BiFeO3, the energy of the ferroelectric order is much higher than that of the magnetic order. Therefore, the magnetic order is driven by the polarization. The opposite situation is required for a SOTFET. This necessitates the search for ME materials with a stronger magnetization and weaker polarization.78 No material or material combination of materials has been found that fully satisfies this requirement with the remaining condition that the phase transition temperature is significantly higher than the room temperature.

Advantages are as follows:

  • Uses the efficient field effect for controlling current.

  • Electrical isolation of the write and read paths.

Disadvantages are as follows:

  • Requirement of stronger FM and weaker FE in the multiferroic dictates the choice of a material that has not been identified yet.

  • The speed is limited by the time of the magnetization precession (∼1 ns).

Circuit simulations79 demonstrate that typical logic gates, Random Access Memory (RAM), and ternary content-addressable memory (TCAM) can be implemented with the SOTFET.

e. ME-driven spin wave devices (see Fig. 22).80

For spin wave devices, the electrical-to-spin transduction is expected to be more energy-efficient if the ME effect is used. In the implementation below, a heterostructure of a piezoelectric and a magnetostrictive material is used. In the write module, an AC voltage is used to excite a spin wave in a FM waveguide. The spin wave propagates to the read module, where the alternating magnetization is converted into an AC voltage in a similar ME heterostructure as in the write module. A spin-wave majority gate has been experimentally demonstrated.81 

Advantages are as follows:

  • The ME effect in a heterostructure is expected to be more efficient than in a single material.

  • Possibility to use both amplitude and phase for logic functions.

Disadvantages are as follows:

  • The output is a propagating spin wave signal rather than an element that can hold the logic state. There have been theoretical proposals of such,82 but they have not been experimentally implemented.

  • Difficulty of using AC voltage inputs and outputs to realize logic.

Circuit simulations83 show that spin wave circuits can efficiently implement complex logic functions (due to the use of majority gates) and compare favorably with CMOS.

4. Benchmarking

Simulation benchmarking of exploratory energy efficient logic devices is often useful to map the future direction of their development. Here we present the benchmarking results for CMOS, tunneling FET (TFET), ferroelectric FET (FEFET), and MESO with their dependence on the supply voltage. The method follows closely Ref. 63. Updated benchmarking studies covering many spintronic devices and circuits can be found in Ref. 84 (see Fig. 23).

We observe that, as expected, the energy of operation decreases and the delay increases as the supply voltage is decreased (∼constant energy × delay product) (see Fig. 24). The increase in delay becomes very dramatic for CMOS at Vdd < 0.4 V. This is caused by the necessity that the MOSFET has an appreciable (∼0.3 V) threshold voltage to efficiently turn off its current at a gate-to-source voltage = 0 V. The on-current strongly decreases as the supply voltage approaches the threshold voltage. The advantage of a TFET over the MOSFET is that the subthreshold slope is steeper and, therefore, the threshold voltage can be decreased.85 Besides, the charge in the channel of TFET can be lower. Therefore, TFET can be faster at the same energy. However, the difference in delay and energy between CMOS and TFET is less dramatic than with other beyond CMOS devices. FEFET can have a steeper subthreshold slope due to the negative capacitance effect.86 The switching delay of an FEFET is limited by the intrinsic switching time of a ferroelectric material. The operating supply voltage can be further decreased in ME spin–orbit logic provided the coercive voltage of ME materials can be sufficiently decreased since it is not limited by the threshold voltage. The delay of MESO is limited by the intrinsic switching time of a nanomagnet (∼1 ns).

In summary, decreasing the supply voltage is the main pathway to decreasing energy in logic devices. Beyond CMOS devices have a different slope of energy vs delay dependence and can be more efficient than CMOS at lower voltages.

One should not interpret the trade-off of slower speed for lower energy as unfavorable. Such a trade-off has been made in the 1990s via a transition of mainstream electronics (personal computers and mainframes) from bipolar transistors to CMOS transistors. Then, and once again at present, the energy efficiency is valued more than speed. One of the reasons for that is that the dissipated heat power per unit area is limited by our ability to remove this heat. With this limitation in mind, one cannot use the full speed of high-performance CMOS transistors, and one must decrease the activity factor of circuits. This way, more energy efficient circuits can allow a higher computing throughput than CMOS. From that point of view, increasing the device speed would be counterproductive.

5. Requirements for future development

Let us give our view on the trends in exploratory research for low voltage devices.

  1. Logic devices need to fulfill certain tenets62 to be used as building blocks of digital logic for computing. One of the tenets is that the devices need to be cascade-able, e.g., the output voltage can drive the input of the next logic stage. Otherwise, additional circuits, with their corresponding delay and energy expense, are required to amplify the device’s output logic signal.

  2. The need to decrease the ME switching voltage. Some experimental progress has been made in reaching ultra-low switching voltage.87 In general, the use of quantum materials exhibiting novel phenomena, such as having unusual order parameters that are collectively switched, can have energy barriers that are easier to switch.1 

  3. The need to increase the output voltage via stronger spin-to-charge conversion. Note that the figure of merit for spin-to-charge conversion is different than that for spin–orbit torque. Pathways for the improvement of the SO output voltage,88 in which both a higher spin Hall coefficient and a higher resistance of the SO material are required. Materials such as SrIrO389 are of interest.

  4. Avoiding the delay limitation of the FM switching. This requires creative thinking to discover “magnet-less” device schemes. In this regard, an experimental demonstration90 proved that it is possible to reverse the sign of the spin–orbit effect by an adjacent ferroelectric. One needs to come up with ways to read-off the polarization state or the antiferromagnetic state of a ME material. One of the possibilities is to read the antiferromagnetic order by the anomalous Hall effect91 directly, without an intermediate FM.

6. Conclusions

In summary, we presented some leading examples of ME logic devices, which were proposed mostly in the last 5 years, and summarized the status of research on them. They have attractive features as well as challenges to overcome. To make them viable building blocks for logic circuits, their material parameters need to be dramatically improved. This requires creative ideas and research into unconventional materials and devices.

Donhee Ham (*donhee@seas.harvard.edu)

The biological neuronal network—the brain—boasts unique computing abilities, such as easy learning from few and noisy data, adaptation to the environment, autonomy, and cognition, and all of these at low power consumption. Neuromorphic engineering originally attempted to closely mimic the details of the biological neuronal network on a silicon integrated circuit92 in hopes of reproducing the unique computing capabilities of the brain, but such close brain mimicry proved difficult due to the lack of knowledge on how a large number of neurons in the brain wire to create its functions. The goal of neuromorphic engineering thus was relaxed from the detailed mimicry of the biological neuronal network to a design that is inspired by certain overall characteristics of the biological neuronal network. A notable example of such brain-inspired design is the non-volatile memory (NVM) crossbar array93–100 that performs analog vector-matrix multiplications—or analog multiply accumulate (MAC) operations—in low power. The NVM crossbar array, which co-locates memory and computing, is inspired by the brain, where biological memories (synapses) are spread across the neuronal network. At the same time, this bio-inspired NVM crossbar array does not mimic the details of the biological neuronal network, and the in-memory arithmetic computing it performs is a far cry from reproducing the unique computing abilities of the brain.

The bio-inspired in-memory computing with the NVM crossbar array may one day prove useful for artificial neural net (ANN) computing for artificial intelligence (AI). The commercial success of AI is enabled by digital processors, such as graphics, neural, and tensor processing units (GPU, NPU, and TPU), tailored for ANN computing. They handle well the intensive vector-matrix multiplications, the most frequent computation in ANNs. However, shuttling ANN weights from off-chip memory to these digital processors consumes significant power. In contrast, in-memory computing based on NVM crossbar arrays93–100 that co-locate memory for weight data storage and computing (analog vector-matrix multiplication) can achieve a lower power consumption. In the standard NVM crossbar array architecture shown in Fig. 25, each memory cell in the array, which stores an ANN weight as its conductance value, multiplies an input voltage by the weight based on Ohm’s law to produce a current. Each column of the array, which connects the memory cells in parallel, adds the memory cell current based on Kirchhoff’s law. Therefore, each column current is the dot product of the input vector consisting of the voltages fed to the rows and the vector consisting of the column’s weight values. Then the vector comprising all column currents is the multiplication of the input voltage vector and the weight matrix of the array. In this way, the crossbar array co-locates memory and analog computing, removing the power dissipation associated with shuttling ANN weights.

FIG. 25.

Conventional memory crossbar array. Each column connects memory cells in parallel. Reused with permission from Jung et al., Nature 601(7892), 211–216 (2022). Copyright 2022. Springer Nature Ltd.

FIG. 25.

Conventional memory crossbar array. Each column connects memory cells in parallel. Reused with permission from Jung et al., Nature 601(7892), 211–216 (2022). Copyright 2022. Springer Nature Ltd.

Close modal

1. The frontier of in-memory computing—An example with magnetic synapses

The study of the NVM crossbar array has been especially active with resistive and phase-change random access memory (RRAM and PRAM).93–100 In contrast, crossbar arrays with magnetoresistive random access memory (MRAM) for in-memory computing have only recently been demonstrated,101 which we will describe here to illustrate an example effort in the frontier of in-memory computing. The MRAM is based on a magnetic tunnel junction (MTJ) comprising two magnetic layers sandwiching a thin insulator. The two magnetic layers can have parallel or anti-parallel magnetizations, which, respectively, lead to low (RL) or high (RH) resistance. The prior challenge for building an MRAM crossbar array stemmed from noticeably small RL and RH values, with which the conventional crossbar array (Fig. 25) with the column connecting memory cells in parallel (with the column output being the sum of the memory cell currents) would dissipate a considerable power. The recent development of the MRAM crossbar array101 came over the issue with a new crossbar array architecture, where each column connects memory cells in series with the column output being the sum of the memory cell resistances.

This architecture uses a memory cell of Fig. 26(a), featuring two parallel paths, with each path formed by a field-effect transistor (FET) switch and an MTJ in series. The FETs of the left and right paths are gated by a binary input voltage IN (VL or VH) and its complementary voltage, respectively. The MTJs on the left and right paths store a binary weight W (RL or RH) and its complementary weight, respectively. As IN selects one path of the two, the cell’s output becomes the MTJ resistance of the selected path. The cell outputs for all four possible IN and W combinations [Fig. 26(b)] show that the cell output is the binary multiplication of IN and W if we assign 1 to RH and VH and −1 to RL and VL. This switching-based analog binary multiplication to output the memory cell resistance replaces Ohm’s law based multiplication that outputs the memory cell current in the standard crossbar array.

FIG. 26.

(a) MRAM memory cell with a binary input voltage IN and a binary weight W. (b) Memory cell resistance for all 4 combinations of IN and W values. (c) MRAM crossbar array. Each column stacks memory cells in series. Adapted with permission from Jung et al., Nature 601(7892), 211–216 (2022). Copyright 2022 Springer Nature Ltd.

FIG. 26.

(a) MRAM memory cell with a binary input voltage IN and a binary weight W. (b) Memory cell resistance for all 4 combinations of IN and W values. (c) MRAM crossbar array. Each column stacks memory cells in series. Adapted with permission from Jung et al., Nature 601(7892), 211–216 (2022). Copyright 2022 Springer Nature Ltd.

Close modal

In the new architecture, each column stacks these memory cells in series [Fig. 26(c)]. The memory cell’s output resistances are then summed to yield the column resistance R, which is the column output. This column resistance sum replaces Kirchhoff’s law based column current sum in the standard crossbar array. The column R is the dot product of the input vector consisting of IN values fed to the rows and the weight vector consisting of the column cells’ W values. Then the vector consisting of all column resistances is the multiplication of the input voltage vector and the weight matrix of the array. This architecture lowers power consumption for small RL and RH, enabling the MRAM crossbar array. The MRAM crossbar array,101 with an energy efficiency of 262–405 TOPS/W, performed all vector-matrix applications for a two-layer ANN to classify 10 000 MNIST digits with a 93.23% accuracy and a part of vector-matrix applications of a ten-layer ANN to detect faces with a 93.4% accuracy.

This development complements other NVM types for in-memory computing, as different NVMs bring differing merits (for MRAM: energy, speed, stability, endurance) and drawbacks (for MRAM: 1b per cell). As MRAM is a commercially mature NVM embedded in CMOS technology, this development can also be a seed for future fully integrated in-memory computing processors, where many crossbar arrays would be integrated with CMOS electronics to map out the entire ANN for not only vector-matrix multiplications but also digital processing (such as pooling and activation). In fact, the commercial viability of in-memory computing based on memory crossbar arrays needs to be studied with such a fully integrated processor chip, carefully examining how the power consumption reduction in crossbar arrays would translate to the power consumption reduction in the overall chip and whether the reduced power consumption is worthy of having to live with drawbacks of in-memory computing such as analog computing error and increased chip area due to the storage of weight data on the processing chip itself.

2. Bio-mimicking computing platform from neurobiology

The NVM crossbar array is inspired by the memory-compute co-location feature of the brain, but it does not mimic the details of the biological neuronal network to reproduce the unique computing abilities of the brain. While close92 brain mimicry has been difficult due to the lack of knowledge on neuronal connections in the brain, recent advances in neurobiology tools bring us closer to mapping the biological neuronal connectivity map, and this in turn may help the close brain mimicry, the original goal of neuromorphic engineering.92 

A notable example of such new tools is the CMOS nanoelectrode array,102,103 a neuro-electronic interface capable of massively parallel intracellular recording of mammalian neuronal networks (Fig. 27). Parallelization of intracellular recording has been a significant pursuit, for it would allow for functional synaptic connectivity mapping in a biological neuronal network but proved difficult. For example, the patch clamp electrode transformed neurobiology with its highly sensitive intracellular recording: it can measure not only action potentials but also synaptic signals and, therefore, can find and study a synapse. However, as the patch clamp cannot be scaled into a dense array, only up to ∼10 parallel patch recordings were possible, with which mapping a network-wide synaptic connectivity is difficult. For another example, the microelectrode array104,105 can record many neurons so it can monitor a network, but it is a low-sensitivity extracellular method and cannot record synaptic signals. The CMOS nanoelectrode array (Fig. 27) finally parallelized intracellular recording,102,103 with both surface nanoelectrodes and the underlying CMOS circuits being critical for this feat. In Ref. 102, the CMOS nanoelectrode array with 4096 recording sites measured intracellular signals from 1728 sites, a great advance from ∼10 patch intracellular recordings. As the network-wide intracellular recording data includes synaptic signals from many neurons, one can find synaptic connections from the data. In Ref. 102, 304 excitatory and inhibitory synaptic connections were mapped from the 1728 intracellular signals obtained from 19 min recording, a throughput unprecedented in functional synaptic connectivity mapping.

FIG. 27.

CMOS nanoelectrode array for network intracellular recording. Adapted with permission from Ham et al., Nat. Electron. 4(9), 635–644 (2021). Copyright 2021 Springer Nature Ltd. and adapted with permission from Abbott et al., Nat. Biomed. Eng. 4(2), 232–241 (2020). Copyright 2020 Springer Nature Ltd.

FIG. 27.

CMOS nanoelectrode array for network intracellular recording. Adapted with permission from Ham et al., Nat. Electron. 4(9), 635–644 (2021). Copyright 2021 Springer Nature Ltd. and adapted with permission from Abbott et al., Nat. Biomed. Eng. 4(2), 232–241 (2020). Copyright 2020 Springer Nature Ltd.

Close modal

Such functional synaptic connectivity maps extracted from the network-wide intracellular recording data may then be imitated by a solid-state memory network, a computing platform that would more closely mimic the biological neuronal network. So far, the parallel intracellular recording has been performed on rat cortical neurons in vitro cultured on the surface of the CMOS nanoelectrode array. Challenges, and also opportunities, thus lie in developing a CMOS nanoelectrode array that can onward be developed for in vivo network-wide intracellular recording (currently available in vivo recording tools are all extracellular techniques105). Reference 95 details this approach to leverage state-of-the-art neurobiology tools for retargeting the original neuromorphic goal.

3. Acknowledgments

The CMOS nanoelectrode array work102,103 included in this review was supported by Samsung Advanced Institute of Technology (SAIT), Samsung Electronics under Contract A37734.

Meng-Fan Chang (*mfchangf@tsmc.com), Win-San Khwa (*WSKHWA@TSMC.COM), Ashwin Sanjay Lele (*ALELE@tsmc.com)

1. Introduction

As we march deeper into the age of Artificial Intelligence (AI) and big data, the edge-computing hardware supporting large matrix multiplication workloads is becoming ubiquitous. The computing needs to provide high precision while squeezing dense on-chip model storage with energy efficiency. Conventional general-purpose architecture utilizes memory and computing circuits separated by an intermediate cache hierarchy to handle spatio-temporally localized access patterns within a small working set.106 However, the need to support such random accesses is not mandatory for AI workloads that have deterministic but massive working sets. This results in the perpetual shuffling of data between the memory and computing with large energy and latency costs on conventional hardware. This has resulted in efforts on bringing computing close to the memory using newer computing architectures called computing-in-memory (CIM). The CIM includes strategies to bring computing elements close to the memory (near-memory computing, or NMC) or merge computing with the memory (in-memory computing, or IMC).107 These methods suppress unnecessary data movement, which improves latency, power consumption, and efficiency.

2. CIM background

Figure 28 compares conventional computing with CIM alternatives. NMC operates on a similar principle as that of conventional processing, with memory readout and processing occurring sequentially. However, the computing circuits are close to the memory array, which reduces the data transfer costs, and the predictable access and computing patterns allow the completion of an operation within a single clock cycle. On the other hand, IMC uses memory to store the model weights, and the input is applied to the memory array to fuse the computing with memory with mixed-signal readout with minimal data movement overheads. CIM requires dense storage memory to keep the weights of the model on-chip to avoid the energy cost of reading external memory while the inputs are typically provided externally. SRAM has been utilized previously for both NMC and IMC because of mature process integration and continuous scaling capacity with process nodes.108–114 However, the volatility of SRAM causes efficiency degradation with continuous leakage when the edge processor is deployed in mostly off scenarios and latency degradation during initialization for every wake-up call. DRAM suffers from similar volatility constraints with additional challenges in process integration with CMOS at scaled nodes. The leakage and refresh cost of the previous volatile memory choices can be mitigated by various non-volatile memory devices (NVM) like process integrated RRAM,96,115–124 PCM,98,125–130 and STTMRAM.101,131–136 These devices offer greater density compared to SRAM at similar process nodes.

FIG. 28.

Conceptual illustrations of (a) von Neumann, (b) near-memory computing (NMC), and (c) in-memory computing (IMC) architectures.

FIG. 28.

Conceptual illustrations of (a) von Neumann, (b) near-memory computing (NMC), and (c) in-memory computing (IMC) architectures.

Close modal

Figure 29 shows the example of CIM operations with a 1T1R RRAM memory array storing the model weights. NMC uses adjacent computing units for carrying out operations like addition/multiplication, etc. The memory operation involves activating a word-line (WL) to read the bit-lines (BL) current accumulated on the source-lines (SL) using sensing circuitry and utilize the output data in the computing circuits to produce results in a single clock cycle. On the other hand, IMC uses the memory array to carry out the multiply and accumulate (MAC) operation within it. This is carried out by applying the inputs at the WL, which causes the current in the devices depending upon their resistance value. This accumulates over the BL and is read using a readout circuit to produce a localized multi-input multi-weight operation in a single clock cycle with high throughput and high efficiency. The article will focus on non-volatile in-memory computing (nvIMC) operations because of the extreme energy efficiency achieved by silicon-verified macros over the past decade.

FIG. 29.

Basic concept and structure of (a) NMC and (b) IMC using non-volatile computing-in-memory (nvCIM).

FIG. 29.

Basic concept and structure of (a) NMC and (b) IMC using non-volatile computing-in-memory (nvCIM).

Close modal

3. IMC design

The high accuracy requirement of computing puts stringent constraints on computation precision and encoding of inputs and weights, readout circuitry for outputs, and handling device non-idealities. This multi-dimensional design space offers ample choices to tune the design.

a. Input.

Most neural-nets (NNs) use rectified linear unit (ReLu) activation, requiring efficient input encoding for positive activations, and Fig. 30 shows the different approaches. The serial binary (SB) approach applies the bits of the input sequentially at the WL, and the outputs from the sensing circuit are read over every BL. The outputs are externally added with place-value-aware accumulation, with the computing time being proportional to the bit-width (n).137 The pulse width modulation (PWM) approach alters the duration of the input pulse depending upon the binary value stored within the number, and the accumulated charge in the BL provides the output of the MAC operation.123 The worst-case latency scales exponentially (2n) with increasing bit-widths. The third scheme uses analog input voltage (AIV) by converting the digital input values to analog values using digital-to-analog converters (DACs) and producing outputs within a single clock cycle,124 irrespective of the bit-width. However, the encoded voltage needs to be lower than the programming voltage to avoid read-disturb, and additional DAC hardware is required to speed up the computation. Both PWM and AIV approaches are susceptible to error injection due to process–voltage–temperature (PVT) variations causing inaccurate voltage or duration encodings.

FIG. 30.

Three multi-bit input schemes: (a) serial binary input pulse, (b) pulse-width modulation, and (c) analog input BL voltage.

FIG. 30.

Three multi-bit input schemes: (a) serial binary input pulse, (b) pulse-width modulation, and (c) analog input BL voltage.

Close modal
b. Weight.

NN weights typically contain both positive and negative values, and the approaches dealing with incorporating the sign of the operation are shown in Fig. 31. The first approach uses separate banks for storing the positive and negative weights.138 The sensed outputs are subtracted externally. However, the simplicity of computation results in 2× storage requirement and compute energy. The 2’s complement weight approach uses the MSB to store the sign of the weight. The computation over each column is combined with the place-value using a separate MSB detection circuit. This saves storage hardware at the cost of slightly higher computational overhead.123 Both input and weight encoding schemes require MAC computation outside the IMC macro, which has been demonstrated using charge sharing,139 capacitive coupling,140 or digital additions.117 

FIG. 31.

Two types of weight data commonly used in nvCIM: (a) separate positive and negative weight data and (b) two’s complement weight data.

FIG. 31.

Two types of weight data commonly used in nvCIM: (a) separate positive and negative weight data and (b) two’s complement weight data.

Close modal
c. Implication of memory devices.

NVM devices typically have variation in the resistance in both high and low resistance states (HRS and LRS) caused by process variations. Additionally, the ratio of resistance in HRS and LRS (R-ratio) varies for different NVM choices. These variations result in the bitline current (IBL) having a wide distribution and limited separation (sensing margin) between adjacent output values, as shown in Fig. 32. For example, the case of sensing “3” over a 9-WL read configuration can be because of 3 driven-WL accessing 3 LRS-cells (3L0H). Similarly, this case may also be for 3 driven-WL accessing 3 LRS-cells and 6 driven-WL accessing 6-HRS cells (3L6H). Such pattern-dependency widens the distribution for each output value and compresses the sensing margin. A smaller R-ratio makes it further challenging to distinguish IBL for, say, 3L6H and 4L0H. Figure 33 shows how increasing output bit precision (9 WL in this case) reduces the sensing margin because of multiple crowded distributions for every output.107 The sensing margin improves if the device offers a larger R-ratio and the pattern dependent contribution of HRS current reduces. R-ratio improvement is possible by oversetting and over-resetting a device to widen the resistance window, and circuit techniques have been proposed to cancel IHRS.141 

FIG. 32.

Pattern-dependent variation in bitline current (IBL) widens the distribution of MAC values (MACV) and decreases the sensing margin.

FIG. 32.

Pattern-dependent variation in bitline current (IBL) widens the distribution of MAC values (MACV) and decreases the sensing margin.

Close modal
FIG. 33.

Simulated signal margin vs output precision in the analog domain under different R-ratio values.

FIG. 33.

Simulated signal margin vs output precision in the analog domain under different R-ratio values.

Close modal
d. Output readout methods.

The current over the BL needs an accurate readout circuit, which is demonstrated using both voltage-mode analog-to-digital converters (ADC) and current-mode sense amplifiers (CSA).107 Both schemes require the sensing voltage to remain under the programming voltage to avoid read disturbance. CSA uses current mirrors to combine place-value-aware currents from adjacent BLs, followed by readout to the digital domain as shown in Fig. 34. Voltage-mode approaches use a current-to-voltage converter (IV converter) to convert IBL to voltage, followed by combining the voltages into partial analog voltages (partial MACs), which are sensed by the ADC. Current-mode readout provides VDD independent readout but causes DC power with DC currents. On the other hand, voltage-mode readout suppresses power consumption at the cost of reduced signal margin (increased errors) with changing VDD. The voltage-mode scheme from Ref. 123 shows 1.88× power reduction compared to the current-mode scheme from Ref. 142 by eliminating the DC currents (Fig. 35). Additionally, the area consumption of the two modes is compared in Fig. 36, where generating multiple references and a noise-tolerant large transistor results in larger area utilization for current-mode sensing. The trade-off is effectively utilized in previous approaches.123,128,141

FIG. 34.

Comparison between two readout schemes: (a) current mode readout and voltage mode readout.

FIG. 34.

Comparison between two readout schemes: (a) current mode readout and voltage mode readout.

Close modal
FIG. 35.

Energy comparison between current-mode and voltage-mode readout.

FIG. 35.

Energy comparison between current-mode and voltage-mode readout.

Close modal
FIG. 36.

Comparison of area between voltage and current mode using sequential readout.

FIG. 36.

Comparison of area between voltage and current mode using sequential readout.

Close modal

4. Benchmark

Figure 37(a) illustrates the benchmark of recent Silicon-verified CIM publications. Digital SRAM-IMC has achieved the highest overall performance in terms of compute density (TOPS/mm2) and energy efficiency (TOPS/W). However, nvCIM and analog SRAM-IMC also show their strengths in energy efficiency and compute throughput density, respectively. SRAM-IMC designs achieve excellence in compute density with smaller memory capacities of tens of kilobits (kb) that provide smaller parasitics and a higher area fraction utilized by the memory array. In contrast, high cell density of nvCIM devices results in memory capacity of several megabits (Mb) or more. This, along with a large area overhead of readout ADCs, hampers the performance metrics involving area density. Figure 37(b) provides another perspective by incorporating memory capacity into compute throughput density on the x axis. This reveals that the compute density separation among different CIM architectures diminishes if memory capacity is considered. Additionally, the key differentiator of nvCIM, its non-volatility, is application dependent and challenging to incorporate in these analyses. Therefore, it is crucial to consider these application dependent factors, such as memory capacity and non-volatility, in addition to energy efficiency and compute throughput density when evaluating CIM architectures.

FIG. 37.

Benchmark of recent Silicon-verified CIM publications: (a) compute throughput density (TOPS/mm2) vs energy efficiency (TOPS/W) and (b) incorporating memory capacity into compute throughput density (TOPS/mm2 * Kb). (For the reference above, the [1]→,113 [2]→,109 [3]→,110 [4]→,111 [5]→,112 [6]→,143 [7]→,108 [8]→,144 [9]→,145 [10]→,146 [11]→,147 [12]→,148 [13]→,149 [14]→,150 [15]→,114 [16]→,151 [17]→,140 [18]→,152 [19]→,153 [20]→,154 [21]→,155 [22]→,156 [23]→,157 [24]→,158 [25]→,159 [26]→,160 [27]→,161 [28]→,162 [29]→,163 [30]→,164 [31]→,165 [32]→,138 [33]→,166 [34]→,142 [35]→,167 [36]→,119 [37]→,123 [38]→,122 [39]→,133 [40]→,127 [41]→,168 [42]→,169 [43]→,101 [44]→,131 [45]→,136 [46]→,118 [47]→,115 [48]→116).

FIG. 37.

Benchmark of recent Silicon-verified CIM publications: (a) compute throughput density (TOPS/mm2) vs energy efficiency (TOPS/W) and (b) incorporating memory capacity into compute throughput density (TOPS/mm2 * Kb). (For the reference above, the [1]→,113 [2]→,109 [3]→,110 [4]→,111 [5]→,112 [6]→,143 [7]→,108 [8]→,144 [9]→,145 [10]→,146 [11]→,147 [12]→,148 [13]→,149 [14]→,150 [15]→,114 [16]→,151 [17]→,140 [18]→,152 [19]→,153 [20]→,154 [21]→,155 [22]→,156 [23]→,157 [24]→,158 [25]→,159 [26]→,160 [27]→,161 [28]→,162 [29]→,163 [30]→,164 [31]→,165 [32]→,138 [33]→,166 [34]→,142 [35]→,167 [36]→,119 [37]→,123 [38]→,122 [39]→,133 [40]→,127 [41]→,168 [42]→,169 [43]→,101 [44]→,131 [45]→,136 [46]→,118 [47]→,115 [48]→116).

Close modal

5. Conclusions

Computing-in-memory is an architectural strategy that aims to bring computing elements closer to the memory, either through near-memory computing (NMC) or merging computing with the memory (in-memory computing, or IMC). This article offers an overview of the design approaches used in CIM as well as the implications for memory device technology. Additionally, it provides a benchmark of recent Silicon-verified CIM publications.

Christian Binek (*cbinek@unl.edu)

1. Status

Moore’s law is an empirical finding that quantifies the phenomenon of accelerated return commonly observed in evolving information technologies (IT). Arguably the most severe challenge to maintain an exponential increase in the performance-to-price ratio of information processing devices is the breakdown of Dennard’s law. It describes the invariance of the electric power density on the scaling of charge-based integrated electronic circuits, which are based on field effect transistors (FET).6 Much of the advances in modern IT, which led to new paradigms such as brain inspired computing and the Internet of Things, became possible because of scaling. At present, scaling of complementary metal-oxide semiconductor (CMOS) technology takes place at the 5 nm CMOS technology node enabled by extreme UV lithography. Continuation of scaling is, however, confronted with the breakdown of Dennard’s law accompanied by detrimental energy dissipation and Joule heating.

Spintronics has emerged as a promising alternative to charge-based IT. Spintronics can mitigate issues such as memory volatility associated with leakage of charge, which intensifies with ever decreasing device dimensions. However, not all spintronics approaches are equally favorable. Traditionally, manipulation of collective spin states hinges on controlled magnetization reversal in ferromagnetic thin films. Here, magnetization serves as a state variable that can be switched by electric currents exerting torques on the magnetization to change the angular momentum through spin transfer torques and spin–orbit torques generated by a plethora of mechanisms, including angular momentum conservation, spin Hall, and the Rashba–Edelstein effect, to name a few.170 Such spintronic devices have some advantages in niche applications where properties such as non-volatility and radiation robustness matter. They are, however, challenged when benchmarked against the energy-delay product of CMOS technology. This is largely because magnetization reversal in ferromagnets is notoriously slow (ns) and energy inefficient. To improve spintronic devices, it is necessary to reduce their switching energy and delay time. Both problems can be addressed with the help of antiferromagnetic (AFM) spintronics. AFM spintronics allows switching of the state variable on the ps time scale orders of magnitude faster than magnetization reversal in ferromagnets. The speedup originates from the presence of a strong exchange field acting on the sublattice magnetization. Exchange fields can be orders of magnitude larger than practical applied magnetic fields. The increase in field strength gives rise to increased precession frequencies compared to the Larmor precession frequency of a ferromagnetic moment in an applied field.

In addition, voltage-controlled manipulation of the AFM order parameter in the absence of electric currents can be virtually dissipation-less. As a result, voltage-controlled AFM spintronics can be vastly superior over current induced switching, making scalable, ultra-low power, non-volatile memory, and logic with attojoule switching energies feasible.171 In the lowest order, i.e., at temperatures significantly below the Néel temperature and at magnetic fields significantly below the onset of field-induced phase transitions such as spin–flip or spin-flop transitions, antiferromagnets do not couple to homogeneous applied magnetic fields. The weak coupling originates from the absence of an overall magnetic moment in an antiferromagnet with virtually perfect compensation of the magnetization of its sublattices. As a result, the Zeeman energy of an antiferromagnet in a homogeneous magnetic field is virtually zero, reflecting the fact that a staggered rather than a homogeneous magnetic field is conjugate to the AFM order parameter. This property makes AFM spintronics insensitive to external magnetic field perturbations and minimizes crosstalk between neighboring devices. However, this beneficial property comes at the price of making it more challenging to manipulate the antiferromagnetic spin state, compared with ferromagnets. Next, some advances in manipulating the antiferromagnetic state are discussed with special emphasis on pure voltage-control. Some common readout mechanisms are briefly introduced as well.

2. Advances and challenges

Although AFM spintronics is only a subset of spintronics, it is in and of itself a broad and fast growing field with multiple evolving branches. Figure 38 depicts a Venn diagram that uses switching mechanisms and basic material properties as an organizing principle. With a focus on potential applications for scalable, integrable, and CMOS compatible solid state devices, Fig. 38 leaves out the otherwise exciting field of ultrafast laser induced switching of antiferromagnets such as TmFeO3, a G-type antiferromagnet from the group of rare-earth orthoferrites known to have strong temperature dependent anisotropy.172,173

FIG. 38.

Venn diagram using common voltage and current induced switching mechanisms to organize various sub-fields of spintronics, including voltage-controlled AFM spintronics.

FIG. 38.

Venn diagram using common voltage and current induced switching mechanisms to organize various sub-fields of spintronics, including voltage-controlled AFM spintronics.

Close modal

A communality of AFM spintronics rests in some form of control over the AFM order parameter, either via reorientation of the Néel vector or switching between AFM order and disorder. Figure 38 displays the diversity of mechanisms that give rise to the manipulation of the AFM order parameter. Switching mechanisms include non-relativistic spin transfer torques174 and relativistic spin–orbit torques.170 Spin–orbit torques can be generated by electric currents in metallic AFM materials such as CuMnAs, where sublattices form inversion partners with locally broken inversion symmetry.175 Order parameter switching is also achieved by electrochemical transformations and electrostatic gating effects, e.g., through liquid ion gating triggering ion motion or electrostatic doping effects.176–178 

AFM spintronics with pure voltage-controlled state variables plays an exceptional role in the overall landscape of spintronics. There are voltage-controlled switching mechanisms where charge flow, apart from the unavoidable and potentially reversible charging associated with the finite capacitance of a device, is absent. Pure voltage-control is achieved via magnetoelectric coupling or voltage-controlled anisotropy. Spin–orbit coupling, which is the fundamental origin of the magneto-crystalline anisotropy, can be voltage-controlled by various mechanisms. One such path exploits a voltage-induced electronic band structure change. It is typically strongest at interfaces,179 preferentially those between ferromagnetic metals and ferroelectrics, where ferroelectric polarization supports strong interface electric fields within the Thomas–Fermi screening length of the metal.180 

Another path utilizes piezoelectrically driven strain effects where magnetoelastic coupling changes the orbital charge distribution and, via spin–orbit coupling, the magnetic anisotropy.181 The interplay between piezoelectric strain and magnetoelastic coupling is systematically exploited in composite multiferroics. Multiferroic composite structures can show record breaking magnetoelectric response through interaction between piezoelectric materials (often ferroelectrics as a subset of piezoelectric materials) and ferromagnetic materials in close proximity.182 The proximity allows for effective stress–strain coupling between the piezoelectric and magnetoelastic materials.183,184

Pure voltage-controlled switching phenomena can have major advantages over current induced switching schemas with regard to dissipation and Joule heating. At the same time, there are fundamental obstacles associated with voltage-controlled switching of a magnetic order, whether it is ferromagnetic or antiferromagnetic. Both magnetic orders are odd under time inversion, while the electric field is even. Magnetization is trivially reversed with the help of a magnetic H-field, which is odd under time inversion, but it is not straightforward to do so with an electric field. The linear magnetoelectric effect makes a contribution to the Gibbs-free energy, which is proportional to the product of electric and magnetic fields. In the absence of a magnetic field, the magnetoelectric energy is zero independent of the strength of an applied electric field.185 A Legendre transformation relates the Gibbs-free energy expressed in terms of fields186 with the Helmholtz-free energy expressed in terms of order parameters. The leading coupling term in a Landau expansion of the Helmholtz-free energy of a proper multiferroic is quadratic in both order parameters.187,188 This implies that, in equilibrium processes, the reversal of the polarization by an electric field leaves the free energy invariant and, hence, the magnetization unaffected. As a result, 180° switching of a magnetic order parameter by an electric field is not straightforward to achieve. Among the methods to attain the reversal of a magnetic order parameter are dynamic approaches and consecutive 90° switches.189 Dynamic approaches, known as precessional switching, are non-equilibrium in nature and utilize voltage pulses, which temporarily reduce the anisotropy barrier between degenerate 180° domain states. Proper timing of the pulse width allows us to turn on the anisotropy barrier at the right moment to trap the precessing order parameter in its reversed states. The timing, which requires precision on the order of the inverse precession frequency, is technically challenging to realize and renders the approach impractical.

Heron et al. showed that in strain-engineered thin films of the magnetoelectric multiferroic BiFeO3, switching kinetics can lead to a reversal of a weak ferromagnetic moment that originates from canting of the AFM aligned spins, where magnetoelectric coupling between the AFM order parameter and ferroelectric polarization is exploited for voltage-control.190 Building on this achievement, Manipatruni et al. used 10 µs voltage pulses to control exchange bias in nanostructured Co0.9Fe0.1/BiFeO3 and La0.7Sr0.3MnO3/BiFeO3 heterostructures, where the multiferroic BiFeO3 serves as the voltage-controlled pinning layer. The effects showed an interesting geometry dependence reflected in improved performance when scaling to sub-micron device dimensions.171 

A straightforward way to fulfill the symmetry requirements for voltage-controlled reversal of a magnetic order parameter is achieved when applying a stationary external magnetic field. It can be provided, for instance, from the magnetic stray-field of a ferromagnetic component of the device, such as a tunnel magnetoresistance structure used to readout the free layer orientation that encodes the bit. The magnetic field breaks time inversion symmetry, and reversal of the AFM order parameter by an applied electric field becomes possible. Pioneering works using magnetoelectric antiferromagnets and multiferroics have been performed on Cr2O3/CoPt191 and Cr2O3/CoPd192 as well as BiFeO3/La0.7Sr0.3MnO3 exchange bias heterostructures.193 Voltage-controlled exchange bias systems benefit from the simplicity of reading out the state variable encoded in the orientation of the magnetization of the ferromagnetic constituent. However, they suffer from the detrimental delay associated with magnetization reversal, and scaling is limited due to the fact that the antiferromagnetic pinning layers have a critical thickness to warrant pinning.194 In addition, the magnetoelectric energy needed to reverse the ferromagnet decreases with decreasing AFM volume. Hence, structures with reduced complexity, which avoid a ferromagnetic auxiliary component altogether, are favorable for applications as ultrafast switches.

The diversity of writing/switching mechanics is matched by the vast array of readout mechanisms. Readout can employ exchange coupled ferromagnetic films via the exchange bias mechanism171,192 or eliminate ferromagnetic components, e.g., with the help of electric transport phenomena. Often, a particular physical mechanism, such as the spin Hall effect, can serve a dual role. For example, the giant spin Hall effect is used to write via spin injection followed by spin transfer torque but also employed to read interface magnetization of insulating antiferromagnets91,192,195 via the corresponding spin Hall magnetoresistance effect. It is worth mentioning that significant work is left to do to pinpoint all contributions to the Hall signal in heavy metals on antiferromagnets.196 The often-dominating spin Hall magnetoresistance contribution originates from a combination of the spin Hall and the inverse spin Hall effect. Generally, there is a far-reaching correspondence between spin torques and magnetoresistance effects. Anisotropic magnetoresistance and tunneling anisotropic magnetoresistance can read magnetic state variables and, just as the spin Hall effect, can be utilized to switch magnetization. Even more exotic are topological read-out schemas considered in the field of topological AFM spintronics,197 where the reorientation of the Néel vector can modify the electronic band structure of the AFM material and change transport properties by opening and closing gaps at Dirac points or Dirac nodal lines.198 In recent years, multiple magnetoelectric memory and logic device architectures have been proposed, which all take advantage of the pure voltage-controlled switching through magnetoelectric coupling in either multiferroics or magnetoelectric antiferromagnets.11,199–201

3. Future directions

Much attention has been given to the manipulation of AFM ordered states by electric currents which, inevitably, gives preference to the study of metallic antiferromagnets such as AMn with (A = Ir, Fe, Ni, Pt, Pd), Mn2Au, and FeRh, as well as semi-metallic and semiconducting antiferromagnets such as CuMnAs, MnSiN2, Sr2IrO4, and MnTe.202 However, enormous potential for ultra-low power spintronics lies in insulating antiferromagnets, particularly those that show some form of magnetoelectric response. Recently, a single phase material has been added to the list of antiferromagnets that fulfill virtually all requirements of the ideal material desired for voltage-controlled antiferromagnetic spintronics. The boron doped variation of the archetypical magnetoelectric Cr2O3 shows qualitative differences compared with its undoped counterpart, making it an outstanding candidate for future AFM voltage-controlled spintronic applications. First and foremost, substitutional anion B-doping increases the Néel temperature and, with it, the device operation temperature from <307 K for pure Cr2O3 to above 400 K for B: Cr2O3 such that CMOS compatibility becomes feasible.203 Most importantly, B-doping of Cr2O3 enables reversible, voltage-controlled, and non-volatile π/2 rotation of the Néel vector in the absence of an applied magnetic field.195 These properties combined can be considered the holy grail of spintronics. Just as pure Cr2O3, B: Cr2O3 possesses roughness insensitive boundary magnetization.192,204–207 It accompanies and orients in accordance with the bulk AFM order parameter and can serve as a proxy of its orientation. The boundary magnetization can be read by spin Hall magnetoresistance, but alternative readout methods can be envisioned. Figure 39(a) shows a schematic of a device that serves as a prototype of a non-volatile voltage-controlled antiferromagnetic memory. The figure depicts the AFM spin structure of B:Cr2O3. A Pt Hall bar is utilized to read the transverse spin Hall signal, Vxy, which is generated in response to the electric current flowing in the x-direction. The control voltage VG, which allows us to rotate the Néel vector, is applied between the top (Pt) and the bottom electrode (V2O3 layer). Figure 39(c) shows the hysteretic switching between a state of Vxy 0 (Néel vector and boundary magnetization in the plane) and Vxy ≠ 0 (Néel vector and boundary magnetization out of the plane). The coercive voltage of about VC = ±15 V can be reduced with decreasing thickness of the AFM film, which is 200 nm in the example shown in Fig. 39. Extrapolating from transport data obtained in pure Cr2O3 thin films208 and the fact that dielectric properties of the films improve with B-doping, one can expect that reduction of the AFM film thickness by one order of magnitude is feasible, which potentially brings Vc down to desired values of a few V. Figure 39(b) shows successive switching events between non-volatile Vxy 0 and Vxy ≠ 0 states where VG=25V>Vc has been utilized to toggle the Néel vector. It is worth mentioning that the pure voltage-controlled Néel vector rotation is not caused by the linear magnetoelectric susceptibility responsible for 180° Néel vector switching in similar device structures employing pure Cr2O3. As mentioned earlier, pure Cr2O3 requires an applied magnetic field to switch the Néel vector via a non-zero electric field dependent contribution to the Gibbs-free energy.206 Néel vector rotation in the absence of an applied magnetic field cannot be associated with the linear magnetoelectric effect. As outlined in Ref. 195 and corroborated by ongoing investigations utilizing Raman and NV center microscopy, it is likely that the voltage-controlled Néel vector rotation in B:Cr2O3 originates from the electric field induced orientation of polar nanoregions. Their orientation gives rise to mesoscopic polarization with an associated piezoelectric response. The latter aligns the easy magnetic axis between out-of-plane and in-plane via magnetoelastic coupling and triggers rotation of the Néel vector.

FIG. 39.

(a) Pt/B:Cr2O3(200 nm)/V2O3 device structure. VG is gate voltage, Vxy is Hall voltage. (b) Zero H-field switching of Vxy in response to VG switching of ±25 V. (c) A room temperature hysteresis, Vxy vs VG, associated with Néel vector switching in B:Cr2O3 (adopted from Ref. 195).

FIG. 39.

(a) Pt/B:Cr2O3(200 nm)/V2O3 device structure. VG is gate voltage, Vxy is Hall voltage. (b) Zero H-field switching of Vxy in response to VG switching of ±25 V. (c) A room temperature hysteresis, Vxy vs VG, associated with Néel vector switching in B:Cr2O3 (adopted from Ref. 195).

Close modal

The example of B:Cr2O3 shows that small changes in a material, including chemical and electrostatic doping as well as strain-engineering, can give rise to qualitative new properties, some of which are beneficial for advances in AFM spintronics. A prominent example for strain-engineering is the transformation of BiFeO3 from a bulk multiferroic with almost negligible magnetoelectric coupling into a versatile magnetoelectric thin film platform enabling pure voltage-controlled spintronics at room temperature. The voltage controlled switchable boundary magnetization in B:Cr2O3 or the switchable weak magnetic moment in BiFeO3 can be further exploited in heterostructures involving two-dimensional materials such as graphene or transition metal dichalcogenides. Here the voltage-controlled antiferromagnets can be utilized to voltage-control Hanle spin precession and other proximity effects that allow to control spin dependent transport in the two-dimensional constituents for logic AFM spintronics applications.209 

4. Acknowledgments

Supported by the National Science Foundation through EPSCoR RII Track-1: Emergent Quantum Materials and Technologies (EQUATE), Award No. OIA-2044049 is greatly acknowledged.

Yen-Lin Huang (*yenlinhuang@nycu.edu.tw), Yuan-Chen Sun (*jycsun@nctu.edu.tw), Ying-Hao Chu (*yhchu@mx.nthu.edu.tw), Bhagwati Prasad (*bpjoshi@iisc.ac.in), Ramamoorthy Ramesh

1. Introduction

The Magneto-Electric Spin–Orbit (MESO) device is an innovative logic/memory device proposed by Intel to build next-generation integrated circuits that offer tremendously higher energy efficiency over traditional Complementary Metal-Oxide-Semiconductor (CMOS) technology.11,68 MESO devices utilize two major physical phenomena—magnetoelectric coupling and spin–orbit coupling—to facilitate the switching of order parameters such as ferroelectric ordering and (anti)ferromagnetic ordering. MESO devices have potential advantages over traditional transistors, which are based on charge states. Although CMOS scaling has enabled the exponential improvement in computation in terms of lower power consumption, faster switching, and higher transistor density for decades, it is approaching its fundamental limits beyond the nanometer node. The physical limitations are coming from the ability to control charge state and current at such a small length scale. By solving the three-dimensional Poisson equation, the characteristic length of a MOSFET (Metal-Oxide-Semiconductor Field-Effect Transistor) is given by λ=εSiεoxidetoxidetSi, where εSi and εoxide are the electrical permittivity of silicon and gate oxide, respectively, tSi is the silicon film thickness, and toxide is the gate oxide thickness.9,210 When the gate length is close to the characteristic length, the depletion regions of the source and drain start to overlap, known as the short channel effect. This greatly reduces the ability of the gate terminal to control the leakage current between source and drain. There is also the fundamental limitation of a 60 mV/decade subthreshold swing, which limits the threshold voltage and supply voltage scaling. On the other hand, MESO devices are not subject to the same scaling limits due to different operation principles. The memory and logic bit switching rely on altering the state of order parameters, such as ferroelectric polarization and ferromagnetic moments, which are thermally stabilized by the energy barrier, ΔE(Θ), also known as retention energy, as a function of a given order parameter. The typical requirement of ΔE(Θ) is ∼80 kbT (0.33 aJ) for ten years of retention in a 1 Mb array at room temperature with an error rate of less than 1 ppm, or ∼40 kbT (0.16 aJ) for logic operation.1,211 By utilizing two quantum phenomena, magnetoelectric coupling and spin–orbit coupling, researchers from Intel and Berkeley have demonstrated and forecasted a pathway to achieve 10 aJ switching of order parameters at room temperature.11 The Magneto-Electric Spin–Orbit (MESO) technology provides an exciting new approach to building integrated circuits, which offers potential advantages over traditional CMOS devices in terms of energy efficiency, scalability, and compatibility with existing manufacturing techniques. As a result, MESO devices are viewed as a promising means of advancing beyond-CMOS devices for computing and sustaining the long-term progression of Moore’s law.

In this perspective, we provide an overview of the genesis and recent advancements in MESO devices, followed by an examination of the challenges and opportunities in materials and devices, particularly in the magneto-electric (ME) module (Fig. 40).

FIG. 40.

The development of multiferroic BiFeO3 thin films, the (inverse) spin Hall effect, and the concept of MESO devices. (In the figure, [206]→,212 [207]→,213 [184]→,190 [57]→,11 [208]→,214 [209]→,215 [210]→,216 [66]→.68)

FIG. 40.

The development of multiferroic BiFeO3 thin films, the (inverse) spin Hall effect, and the concept of MESO devices. (In the figure, [206]→,212 [207]→,213 [184]→,190 [57]→,11 [208]→,214 [209]→,215 [210]→,216 [66]→.68)

Close modal

2. The development of MESO devices

Starting from the multiferroic bismuth ferrite (BiFeO3, BFO), BFO is by far the most studied single-phase multiferroic for the coexistence of two order parameters above room temperature—ferroelectric polarization and antiferromagnetism. Furthermore, it was observed that a canting of the magnetic moments in BFO is possible, resulting in a weak ferromagnetic moment (MC) ∼8 emu/cm3 described by the Dzyaloshinskii–Moriya (DM) interaction. A groundbreaking paper published in 2003 by Wang et al. that focused on the growth and characteristics of thin films of BFO sparked a flurry of research on this topic that has persisted until the present day. The paper demonstrated improvements in polarization ∼90 μC/cm2 and, most importantly, it reported a magnetoelectric coupling coefficient ∼3 V cm/Oe at zero field.212 In 2008, Chu et al. published a comprehensive study in which they reported the first visual proof of electrical control of antiferromagnetic domain structures in a single-phase multiferroic at room temperature. They used piezoresponse force microscopy (PFM) to image ferroelectric domains and x-ray circular dichroism photoemission electron microscopy (XMCD-PEEM) to image ferromagnetic domains with the heterostructures of Co0.9Fe0.1/BFO. By combining the two imaging techniques, they were able to directly observe changes in the ferromagnetic domain structure in Co0.9Fe0.1 when an electric field was applied. Due to the nature of the biaxial symmetry of the Néel vector, it is argued that the switching of a canted moment is non-deterministic unless an external field is applied to break the symmetry. In 2014, Heron et al. demonstrated the deterministic 180°-switching of magnetization in the spin-valve/BFO heterostructures. One key to achieving this deterministic switching in BFO is realized by the two-step switching of ferroelectric polarization, a combination of 71° and 109° switching. This mechanism helps to lower the energy barrier required for switching and also provides a symmetry-breaking switching trajectory that has been observed through time-resolved PFM and modeled using DFT.190 While this section focuses on electric field controllable magnetism, it is noteworthy that an alternative method exists for manipulating magnetism through optical means. Kundys et al.217 showcased a notable alteration induced by visible light in the dimensions of BiFeO3 crystals at room temperature, hinting at the possibility of integrating mechanical, magnetic, electric, and optical functionalities in forthcoming remotely switchable devices. Additionally, Liou et al.218 documented the manipulation of various ferroic orders in an epitaxial mixed-phase BiFeO3 thin film under ambient temperature conditions through laser illumination.

The second module, spin–orbit (SO), of the MESO device relies on the spin–orbit coupling, namely the (inverse) spin Hall effect [(I)SHE], to transduce the order parameter of magnetization to a sufficient voltage. The spin Hall effect is a fascinating phenomenon in condensed matter physics that has received a great deal of attention in recent years219,220 for its potential in low power Spin–Orbit Torque Magnetoresistive Random Access Memory (SOT-MRAM) technology. It refers to the generation of a transverse spin current, perpendicular to the direction of an applied electric field, typically in heavy materials with strong spin–orbit coupling, such as Pt, W, and Ta.221 The effect was first predicted theoretically in the 1970s,222 but experimental observations of SHE had to wait until the early 2000s due to the lack of materials with sufficiently strong spin–orbit coupling. Kato et al. used magneto-optical Kerr imaging to demonstrate the first observation of the Spin Hall effect at room temperature, which occurred at the edges of a GaAs semiconductor channel.214 At room temperature, researchers demonstrated the measurement of spin Hall voltage at the opposite end of an Al wire after observing the spin Hall effect at the edges of a GaAs semiconductor channel via magneto-optical Kerr imaging. The experiment utilized a perpendicularly magnetized FePt and an Au Hall bar, and both spin Hall and inverse spin Hall effects were recorded at a separation of 70 nm between the injector and detector, with magnitudes reaching 2.9 mΩ. spin pumping.215 Recently, researchers observed an inverse Edelstein voltage at the Rashba-split two-dimensional electron gas at the interface of SrTiO3/LaAlO3216 and the spin-momentum locking in topological insulators.223,224 These systems demonstrate high efficiency in transferring charge to spin and are suggested to be utilized as the spin–orbit (SO) module in MESO devices.

With this background, in 2019, the research teams from Intel and Berkeley proposed the concept of MESO devices and experimentally proved there is a clear pathway to achieve attojoule level switching of order parameters.11 The MESO device proposed offers several advantages over current logic and memory technology, including (1) the non-volatility in order parameters can enable new building blocks for new computation architectures such as compute-in-memory and brain-inspiring computing,225 (2) the excellent voltage scalability to scale energy per operation to attojoule-level with switching energy about 30 times lower than advanced CMOS devices, and (3) a significant improvement in logic density, up to 5 times compared to advanced CMOS devices, facilitated by majority-gate circuits implemented with a collective switching device.69,226 In the latest IEDM conference, Intel reported its latest progress on the ME module of MESO devices.227 With the 6-nm La-doped BFO thin film, they demonstrated asymmetric 150 mV driven ME switching with a characteristic switching time of 1.95 ns.

3. The challenges and opportunities of MESO devices

a. Voltage scaling.

In our 2019 publication, we outlined several possible approaches to scale the ME switching voltage, such as chemical doping, interface engineering, strain engineering, and thickness scaling.11 Of these methods, we demonstrated that the replacement of bismuth by lanthanum via chemical doping in BFO (LBFO) thin films is an effective means of reducing the ME voltage.87,228 While the substitution of lanthanum in BFO thin films softens the ferroelectric ordering, leading to a reduction in remanent polarization and coercive voltage, we note that this alteration also affects the exchange coupling between the ferromagnetic and magnetoelectric layers.228 This is because the antiferromagnetic easy axis in the LBFO layer is no longer parallel to the parent phase of BFO, driven by the crystal symmetry transformation from rhombohedral to monoclinic.

Besides the above-mentioned strategies to scale down the switching voltage, researchers from Berkeley demonstrated that removing the substrates from epitaxial ferroelectric films can greatly improve the switching voltage and speed.229,230 For example, in BFO freestanding membranes, ∼ 40% reduction of the switching voltage and a consequent ∼60% improvement in the switching speed can be achieved. The open questions include (1) what is the ultimate limit for voltage switching? and (2) can the magnetoelectric coupling follow the ferroelectric switching?

b. Imprint issues.

The ferroelectric imprint effect is observed when a ferroelectric material exhibits a preference for one polarization state over the opposite one, resulting in a higher voltage requirement to overcome the preferred polarization state. The opposite polarization state has a strong tendency to switch back to the preferred state. This asymmetric preference issue is particularly crucial for ultra-low voltage switchable ferroelectric thin films, as the small thermal energy barrier makes it possible to have only one stable polarization state at zero bias. There are two major issues that can arise for MESO devices due to this effect. First, there is a risk of write failure caused by the asymmetrical shift in the coercive voltage. Second, a potential memory loss can happen because the non-preferred polarization state does not have a stable remanent polarization. To resolve this issue, a typical approach is to balance the work functions between the interfaces of the top electrode/ferroelectric layer/bottom electrode using conductive oxide electrodes.231,232 However, in the application of the ME module, it is still essential to use the metallic ferromagnetic layer to transduce order parameters. Therefore, we can only tune the bottom electrode materials to manipulate the polarization preference of the ME layer. Building upon our earlier research, in which we demonstrated the ability to manipulate the as-grown polarization state of ferroelectric thin films by engineering the bottom electrode materials, including termination control and conductivity tuning, we have incorporated a La0.7Sr0.3MnO3/SrRuO3 (LSMO/SRO) heterostructure as the bottom electrode for our ME module.233,234 The SRO layer is designed for conductivity improvement, as shown in Fig. 41.

FIG. 41.

Challenges of the ME module.

FIG. 41.

Challenges of the ME module.

Close modal
c. Interface degradation and durability.

The realization of room temperature ME switching to date relies on ferromagnets/multiferroics heterostructure, for example, Co0.9Fe0.1/BFO. With a strongly coupled magnetic ordering, researchers have demonstrated the electric control of magnetization,190,213 exchange bias,171 exchange coupling strength,87,228 etc. This interlayer exchange coupling can be described by the following two energy terms:

  1. The exchange energy between the Co0.9Fe0.1 layer and the BFO layer Eex=JexSMCSCo0.9Fe0.1, where Jex is the exchange coupling coefficient, SMC is the spin momentum of the canted moment in the BFO layer, and SCo0.9Fe0.1 the spin momentum of the moment in the Co0.9Fe0.1layer.

  2. The DM energy in the BFO layer235  EDM=D(L×SMC), where D is the DM vector and L is the Néel vector in the BFO layer. In the system of BFO, the D is parallel to the ferroelectric polarization P.

Based on these two energy terms, it is evident that a substantial magnetization of the ferromagnetic layer is necessary to achieve robust interlayer coupling. Therefore, transition ferromagnetic metals are selected for transducing the order parameters (P and M). However, the high activity of transition metals, Co and Fe in our ME case, can lead to oxidation at the interfaces. This can result in the formation of non-magnetic or antiferromagnetic oxide layers at the interface, thereby diminishing the coupling between the ferromagnetic and ferroelectric layers shown in Fig. 41. Additionally, the oxidation of the ferromagnetic layer can also reduce its magnetization (increasing the thickness of the dead layer), further weakening the coupling strength between the layers of ferromagnets and multiferroics. As a result, even though the ferroelectric polarization can be cycled up to 109, the exchange coupling strength diminishes way ahead of the ferroelectric polarization at around 106 cycles, as shown in Fig. 41. Tremendous efforts have been made to utilize oxide ferromagnets such as La0.7Sr0.3MnO3 to prevent this oxidation issue and achieve better interface quality with cube-on-cube epitaxial growth,236,237 but the interlayer coupling is only limited at low temperatures.

4. Acknowledgments

Y.-L.H. acknowledges the financial support from the Center for Semiconductor Technology Research from the Center for Emergent Functional Matter Science of National Yang Ming Chiao Tung University from the Featured Areas Research Center Program within the framework of the Higher Education Sprout Project by MOE in Taiwan and the National Science and Technology Council, Taiwan, under Grant Nos. NSTC 110-2634-F-009-027, NSTC 111-2112-M-A49-012-MY3, and NSTC 112-2622-8-A49-013-SB.

Bhagwati Prasad (*bpjoshi@iisc.ac.in), Yen-Lin Huang (*yenlinhuang@nycu.edu.tw), Ramamoorthy Ramesh

For many decades, magnetic-based technologies such as magnetic recording and magnetic tape have been the mainstay of data storage systems. Their prevalence can be attributed to their superior capacity, non-destructive readouts, and the ability to be produced en masse in a cost-effective manner. Beyond storage, magnetic-based memories, specifically magnetic random-access memory (MRAM), are emerging as prime candidates for the next generation of non-volatile memory solutions.16 These can potentially address the persistent issue of memory walls, which plague the von Neumann architecture.17 The foundational structure of MRAM is rooted in the principles of spin valve devices, where the resistance state of the device is governed by the relative magnetic configuration of two metallic magnetic layers, separated by a non-magnetic spacer layer. Historically, the manipulation of one of these magnetic layers—the free layer (FL)—was achieved using a magnetic field, thereby facilitating the toggle of resistance states in these devices. However, the complex cell architecture and high-power consumption intrinsic to this approach have posed significant hurdles to its marketability. Considering these difficulties, current-induced switching mechanisms like spin-transfer torque (STT) and spin–orbit torque (SOT) have been introduced, significantly impacting the technological progression of MRAM devices. While these mechanisms show advantages over magnetic-field-assisted switching in terms of scalability and energy efficiency, their operational energy (10–100 fJ/bit) still surpasses that of CMOS devices (<1 fJ/bit). Additionally, the notorious Joule heating effect associated with current-driven devices presents a considerable challenge, particularly for STT, given the requirement for large switching currents. As a promising alternative, the exploitation of voltage or an electric field to control magnetism has emerged as a more energy-efficient approach. This technique holds the potential to reduce energy consumption to well below 1 fJ/bit and potentially even to the aJ/bit range.183 

There are multiple methods to control magnetism using electric fields, such as modifying the magnetic moment by transitioning the phase from antiferromagnetic/paramagnetic to ferromagnetic, varying the anisotropy of the film, adjusting the exchange coupling between two ferromagnetic layers, and even altering the magnetization direction, among others.238 Recently, ionic gating has been employed to alter the magnetic phase of the metallic/semiconducting layer; however, integrating these systems with spintronic devices is challenging due to their poor scalability, slow switching speed (within the millisecond range), and the complexity inherent in device fabrication.32 

The conventional technique for voltage control of magnetism used to manipulate the magnetic state of the free layer (FL) in cutting-edge Magnetic Tunnel Junction (MTJ) stacks involves the voltage-controlled magnetic anisotropy (VCMA) effect.1 The primary obstacle with this technique is achieving a higher VCMA effect (>200 fJ/V m) to realize entirely voltage-driven switching in the MTJ stack. Multiple insertion layers, such as Hafnium (Hf), Iridium (Ir), Palladium (Pd), etc., have been introduced at the FL/MgO interface to augment the VCMA effect, yet a robust system with the required VCMA effect remains elusive. An additional concern regarding the VCMA effect is the non-deterministic nature of the switching, which necessitates an in-plane magnetic field to ascertain the switching direction. Furthermore, the perpendicular magnetic anisotropy (PMA) of the FL decreases with one polarity of applied voltage but increases for the opposite polarity, making the combination of VCMA with the spin-transfer torque (STT) effect energetically favorable only in one direction of applied current/voltage. Therefore, despite sharing a similar architecture with traditional STT-MRAM devices, VCMA-based MRAM devices do not present a promising technological prospect until the aforementioned issues are rectified. A recent addition to voltage-induced switching techniques, voltage-controlled exchange coupling (VCEC),239 has been documented, which can be readily integrated into the conventional MgO-based MTJ stack for MRAM applications. The principal advantage of VCEC over the VCMA effect is its deterministic nature and its compatibility with the STT effect for bidirectional energy-efficient switching of MRAM devices. However, this research area is still in its early stages, and a comprehensive demonstration of such a device with a significant breakthrough remains to be achieved.

Another compelling method to govern magnetism involves leveraging the magnetoelectric coupling property inherent to single-phase multiferroics. In these systems, the exchange interaction between the ferromagnetic film and the multiferroic materials’ antiferromagnetic order is harnessed to manage the ferromagnetic film’s magnetic state via the applied voltage/electric field. Initial efforts in this direction entailed manipulating a single ferromagnetic layer’s magnetic state. Utilizing a blend of magnetometry and anisotropic magnetoresistance (AMR) measurements, Leighton240 pioneered the electric field control of exchange bias in Py/YMnO3 heterostructures at 2 K.

Building on the concept of electric field control, the domain of optical control in artificial ferromagnetic (FM)/ferroelectric (FE) heterostructures presents an equally compelling avenue for the modulation of magnetic properties. This method transcends the limitations of electric field manipulation, offering non-invasive operation and opportunities for device miniaturization—key advantages in the quest for energy-efficient spintronics devices. Iurchuk et al.242 demonstrated a remarkable light-induced coercivity modulation in a nickel (Ni) thin film deposited on a (BiFeO3) FE layer, unveiling the potential of optical means for magnetic control. Kundys et al.243 further explored this realm by showing how the wavelength of incident light could dictate magnetic anisotropy within a CoFe/BFO ME heterostructure, indicating new possibilities for wavelength-specific magnetic modulation. Zhang et al.241 addressed the challenge of the low photostriction response time of BFO by achieving coercivity modulation in a Ni thin film within a Ni/Pb(Mg1/3Nb2/3)O3-PT (PMN-PT) ME structure (see Fig. 42), paving the way for more responsive and efficient optical control mechanisms. Additionally, Pathak et al.244 introduced the concept of light-induced dynamic magnetization, presenting a method with practical relevance for remote-tunable oscillators in neuromorphic and other spin-based applications, thereby broadening the scope of optical control in magnetoelectric memory devices. These advancements collectively signal a shift toward more versatile and efficient methods for magnetic control in ME memory devices. While this article is primarily focused on the direct electric field manipulation of magnetic states, the exploration of optical control in FM/FE heterostructures opens up new frontiers in the design and implementation of energy-efficient, high-performance spintronics devices. By harnessing both electric and optical means to modulate magnetic properties, a new generation of magnetoelectric memory devices combines the best of both worlds—energy efficiency, miniaturization, and enhanced control over magnetic states.

FIG. 42.

(a) Schematic of the (011) Ni/PMN-PT heterostructure with the experimental setup. Normalized magnetic hysteresis loops at varying light intensities (50% and 100%) for the easy (b) and hard (c) magnetic orientations (with an inset in (b) depicting the normalized Kerr effect hysteresis loops across both magnetic directions). Graphs showing changes in coercive field (d) and the remanence ratio (e) for both the easy and hard magnetic orientations under different light exposures.241 

FIG. 42.

(a) Schematic of the (011) Ni/PMN-PT heterostructure with the experimental setup. Normalized magnetic hysteresis loops at varying light intensities (50% and 100%) for the easy (b) and hard (c) magnetic orientations (with an inset in (b) depicting the normalized Kerr effect hysteresis loops across both magnetic directions). Graphs showing changes in coercive field (d) and the remanence ratio (e) for both the easy and hard magnetic orientations under different light exposures.241 

Close modal

The discovery of magnetoelectricity in multiferroic BFO has instigated a paradigm shift in voltage-controlled magnetism, primarily owing to the strong exchange coupling of BFO with neighboring ferromagnets.245 Considering this, the reversible modulation of the magnitude and even the sign of exchange bias at the LaSrMnO3 (LSMO)/BFO interface has been demonstrated by applying out-of-plane electric fields through BFO,178 albeit under sub-room temperature conditions. To capitalize on the room temperature magnetoelectric properties of multiferroic BFO, the magnetoelectric coupling with a conventional ferromagnet, such as Cobalt Iron (CoFe), exchanged coupled with BFO has been evidenced through conventional magnetometry, AMR, and X-ray Magnetic Circular Dichroism-Photoemission Electron Microscopy (XMCD-PEEM) imaging.178,246

For the development of commercially viable memory/logic technology using magnetoelectric multiferroic systems, it is crucial to integrate spintronic devices with multiferroic materials. The foundational structure of spintronic devices lies in the spin valve. The first instance of deterministic switching of the resistance state of spin-valve devices purely by the applied electric field was demonstrated by Heron et al.247 Subsequent research in this field has focused on the creation of ultra-low power switching architectures to actualize devices with operational energy in the attojoule per bit range.248 Indeed, a recent demonstration by Wu et al.193 showcased magnetoresistive switching of spin-valve devices at or below 200 mV, indicating a potential pathway to achieve switching at 100 mV (see Fig. 43). This was accomplished by fine-tuning the film thickness, the composition of multiferroic films, and performing interface engineering.87 As previously mentioned, the ferroelectric switching voltage of BFO can be minimized by doping with La or Sm.190 Another strategy to reduce the switching voltage involves decreasing the film thickness. By optimizing La doping within the 10%–20% range and reducing the multiferroic film thickness to 10 nm, it has been possible to achieve attojoule-class magnetoelectric-based non-volatile memory devices. These devices have demonstrated a corresponding switching energy density of ∼10 µJ cm−2 (as illustrated in Fig. 44).11 

FIG. 43.

(a) The magnetoelectric testing structure composed of a CoFe–Cu–CoFe spin valve interfacing with a La doped-BFO (BLFO) film surface. (b) The modulation of the spin valve device's resistance (normalized resistance) fabricated on BLFO films when varying bias voltage is applied across the BLFO layer with different film thicknesses. (c) The resistance modulation trend of a spin valve device, integrated with a 35 nm BLFO film and subjected to a background field of 100 and 0 Oe magnetic fields. This emphasizes that the background magnetic field does not influence the electric field modulation of the spin valve's resistance state. (d) A piezoelectric loop of a 20 nm BLFO film shows the ferroelectric switching voltage at 500 mV. The XMCD-PEEM images (seen in the inset) of the Pt/CoFe strips, obtained under a preset magnetic field pulse of 100 Oe, disclose a 180° magnetization reversal when 500 mV is applied across the BLFO film.193 

FIG. 43.

(a) The magnetoelectric testing structure composed of a CoFe–Cu–CoFe spin valve interfacing with a La doped-BFO (BLFO) film surface. (b) The modulation of the spin valve device's resistance (normalized resistance) fabricated on BLFO films when varying bias voltage is applied across the BLFO layer with different film thicknesses. (c) The resistance modulation trend of a spin valve device, integrated with a 35 nm BLFO film and subjected to a background field of 100 and 0 Oe magnetic fields. This emphasizes that the background magnetic field does not influence the electric field modulation of the spin valve's resistance state. (d) A piezoelectric loop of a 20 nm BLFO film shows the ferroelectric switching voltage at 500 mV. The XMCD-PEEM images (seen in the inset) of the Pt/CoFe strips, obtained under a preset magnetic field pulse of 100 Oe, disclose a 180° magnetization reversal when 500 mV is applied across the BLFO film.193 

Close modal
FIG. 44.

The graphic presents the latest advancements in modulating the switching voltage and spontaneous polarization of BiFeO3 through La-substitution and film thickness alterations at the Bi-site. This, in turn, contributes to decreased energy consumption, as demonstrated in the left panel. The right panel of the figure contrasts conventional memory technologies (NOR-FLASH, DRAM, and SRAM) with emerging memory options (PCRAM, RRAM, STT-RAM, and ME-MRAM) and includes a comparison with magnetoelectric non-volatile memory-based logic (ME-NVM).11 

FIG. 44.

The graphic presents the latest advancements in modulating the switching voltage and spontaneous polarization of BiFeO3 through La-substitution and film thickness alterations at the Bi-site. This, in turn, contributes to decreased energy consumption, as demonstrated in the left panel. The right panel of the figure contrasts conventional memory technologies (NOR-FLASH, DRAM, and SRAM) with emerging memory options (PCRAM, RRAM, STT-RAM, and ME-MRAM) and includes a comparison with magnetoelectric non-volatile memory-based logic (ME-NVM).11 

Close modal

Although progress has been made in achieving electric field-induced deterministic switching of spin-valve devices using multiferroic magnetoelectric materials, their integration with traditional MRAM technology remains to be demonstrated. This is primarily due to the complexities inherent in three-terminal device structures that include an MgO tunnel barrier layer. Furthermore, the weak magnetoelectric coupling in single-phase multiferroic materials poses a challenge to implementing purely voltage-driven switching of the Free Layer (FL) in the Magnetic Tunnel Junction (MTJ) structure. Device endurance is another critical concern that requires resolution, especially with respect to the irreversible oxidation of the ferromagnetic layer under recurring switching electric fields. This issue could potentially be mitigated by utilizing a ferromagnetic oxide electrode,228 yet the realization of an MTJ structure with a decent Tunnel Magnetoresistance (TMR) percentage (exceeding 100%) with MgO-based or even non-MgO-based tunnel junctions is challenging to achieve at room temperature. Another strategy for integrating multiferroic material into MTJs involves incorporating a multiferroic (MF) insulating spacer, serving as a tunnel barrier, between two Ferromagnetic (FM) electrodes.249 This could result in four resistance states due to the ferroelectric and ferromagnetic properties of the barrier layer. However, no demonstration at room temperature has been reported to date, indicating there are significant strides to be made in the realization of any feasible memory/logic technology employing these devices.

In response to these challenges, there has been a burgeoning interest in examining composite systems that integrate ferromagnetic elements with ferroelectric or piezoelectric materials. An early approach in this vein aimed to create vertically aligned nanocomposite systems that incorporate ferromagnets within a ferroelectric/piezoelectric matrix. In 2004, Ramesh and Manipatruni250 reported on such a nanocomposite system where the ferromagnetic spinel, CoFe2O4 (CFO), was epitaxially embedded within a ferroelectric perovskite matrix of BiFeO3 (BFO). They found that the magnetic state of the ferromagnetic CFO could be switched electrically utilizing the magnetoelectric coupling of the BFO matrix. For deterministic switching of the CFO layer's magnetization direction, a minor magnetic field was needed for the nanopillar arrays during the electric-field-induced switching. While several other vertically aligned nanocomposite systems have been studied for magnetoelectric switching, the integration of spintronic devices into these systems poses significant difficulties.251 

A different method for leveraging composite magnetoelectric systems in spintronics applications involves the use of artificially fabricated ferromagnetic/ferroelectric (FM/FE) multiferroic heterostructures. These structures offer significant technological appeal due to their notable magnetoelectric coupling at room temperature and their compatibility with a variety of ferroelectric and ferromagnetic materials. The underlying physical mechanisms for magnetoelectric coupling in these heterostructures generally involve exchange, charge, and strain-mediated effects.

Electric field control of exchange coupling at the FM/FE interface has been specifically demonstrated with single-phase multiferroic materials (e.g., BFO), as previously noted. For charge-mediated systems, the interfacial electronic structure of the ferromagnet in contact with the ferroelectric material is modulated by toggling the ferroelectric polarization states with an applied electric field. This alteration subsequently changes the magnetic properties (e.g., magnetic anisotropy, coercive field, magnetic moments, etc.) of the ferromagnetic layer.252 Unlike strain and charge-mediated effects, strain-mediated effects provide an indirect means of controlling the magnetism of the ferromagnetic film in FM/FE heterostructures.253 In this mechanism, voltage-induced strain in the ferroelectric/piezoelectric film—resulting from the converse piezoelectric effect—is transferred to the adjacent ferromagnetic film. Consequently, this alters its magnetic properties through the converse magnetostriction effect.

Several studies have demonstrated modulation of the magnetic properties of a singular ferromagnetic layer via exchange, charge, and strain-mediated effects.254 Nevertheless, the challenge remains to manipulate the giant magnetoresistance (GMR) and tunnel magnetoresistance (TMR) responses of spin-valve/magnetic tunnel junction (MTJ) devices through these mechanisms. These manipulations are essential to creating feasible non-volatile memory solutions boasting high endurance, reversible switching, minimal energy dissipation, and scalability. Notably, the majority of remarkable multiferroic-based magnetoelectric switching of GMR and MTJ devices has been primarily demonstrated in film stacks possessing in-plane magnetic anisotropy. Until now, MTJ stack switching has been accomplished via the strain-mediated effect utilizing ferroelectric PMN-PT substrates.255 However, devices with perpendicular magnetic anisotropy (PMA) are deemed more appealing for the creation of next-generation high-density memory solutions. While recent studies have reported electric field manipulation of PMA films through strain-mediated magnetoelectric coupling,253,254 the successful and robust integration of such a switching mechanism into perpendicular MTJs warrants additional exploration.

Currently, single crystalline oxide substrates predominantly serve as the base for the growth of high-quality epitaxial multiferroic oxide materials. However, recent developments have indicated that free-standing multiferroic films may be more energy efficient when it comes to realizing the magnetoelectric (ME) coupling effect.256 Notably, such free-standing films have helped to mitigate the substrate clamping effect, especially in the context of the strain-mediated ME effect. The endeavor to integrate perovskite-based multiferroic materials with silicon remains challenging yet ongoing, with the goal of developing complementary metal-oxide-semiconductor (CMOS)-compatible technology. Another hurdle lies in maintaining the stability of polar phases when scaling down the thickness of ferroelectric films. This is crucial to preserving ME coupling in single-phase multiferroic films. Recent studies have reported that such ME coupling in bismuth ferrite (BFO) thin films can be preserved even at thicknesses as low as 5 nm.87,257

When developing technology with such thin films, it is also necessary to consider potential issues with dielectric leakage. One potential solution could be to reduce the lateral device size to less than 20 nm, although this requires a sophisticated device fabrication process due to the intricacies of three-terminal structures. The challenge of etching oxide film nanopillars of such size, particularly to avoid damaging the films from the sidewall—which could potentially lead to leakage—remains a significant obstacle.

Despite numerous hurdles to be overcome in achieving purely voltage-driven memory technology, the potential for significant energy consumption reductions, compared to current-driven devices, continues to hold the scientific community's attention. To address integration challenges, novel device fabrication strategies for these material systems need to be identified, necessitating more translational research and development efforts. Moreover, the pursuit of novel materials and the investigation of fresh mechanisms and physics continue in the fields of multiferroics and magnetoelectrics. Such ongoing efforts are expected to yield further substantial breakthroughs in this area, contributing to future advancements in the field.

1. Acknowledgments

B.P. acknowledges the financial support from the Start-up grant from IISc, DST-core research Grant Nos. CRG/2021/008793, and QuRP seed fund.

Michael Hoffmann (*hoffmann@berkeley.edu)

1. Status

Ferroelectric materials have a non-centrosymmetric crystal structure, resulting in a spontaneous polarization that can be switched by an electric field. Multiple stable polarization states and the electric field-based switching mechanism make ferroelectrics ideal for applications in low-power non-volatile memories.258 Depending on the read-out mechanism, three basic memory concepts can be distinguished: ferroelectric random-access memory (FeRAM), ferroelectric tunnel junction (FTJ), and ferroelectric field-effect transistor (FeFET). In FeRAM and FTJs, the polarization state of a ferroelectric capacitor is read out through the displacement current and static leakage current, respectively. In a FeFET, the ferroelectric is integrated into a transistor, and the polarization state is read out via the drain current. Ferroelectric memories are of interest not only for von Neumann based computing architectures but especially for emerging paradigms such as neuromorphic computing, logic-in-memory, and non-volatile logic.259,260 Additionally, ferroelectrics can exhibit a negative capacitance (NC) when their overall polarization is suppressed.261 By intentionally suppressing the ferroelectric polarization in a FeFET-like structure, the gate voltage can be amplified through the NC effect without hysteresis.262 This so-called NCFET exhibits a reduced equivalent oxide thickness (EOT) and operating voltage compared to conventional MOSFETs and can in principle overcome the “Boltzmann-limit” of 60 mV/decade subthreshold swing at room temperature.263 Therefore, ferroelectric NCFETs are promising contenders for future low power and high-performance logic devices. Additionally, some ferroelectric devices can also be influenced by light through photoferroelectric effects. For example, it has been demonstrated that the storage state of FTJs can be affected by illumination.264 The underlying mechanism of photoferroelectrics can be either photostriction241,243,265 or pyroelectricity,266,267 depending on the wavelength of the light used.

Historically, most research on ferroelectrics has been focused on low-power digital memory.258 While FeRAM based on perovskite ferroelectrics such as lead zirconate titanate has been commercialized in the 1990s, these products could not be scaled beyond the 130 nm node.268 FeFETs based on perovskite ferroelectrics could not be commercialized due to scaling, integration, and reliability issues. Only the recent discovery of scalable HfO2 and ZrO2 based ferroelectrics of fluorite structure led to a resurgence of interest in low-power electronic device applications.269,270 These CMOS compatible materials can retain their ferroelectricity down to the unit cell limit and can be grown on 3D structures using atomic layer deposition.268,271 HfO2 based FeRAM and FeFET memory arrays have been demonstrated on a wafer scale down to the 130 and 22 nm nodes, respectively.272,273 Recently, a 32 Gbit chip with two layers of stacked 3D ferroelectric capacitors and a 48 nm pitch has been demonstrated.274 Ferroelectrics with a wurtzite structure such as AlScN have been discovered,275 which exhibit a high spontaneous polarization and temperature stability with possible applications in FeRAM. Furthermore, ultrathin 2D van der Waals ferroelectrics such as CuInP2S6 and α‐In2Se3 have attracted attention for applications in FeFETs and FTJs.276 The following sections aim to give a high-level overview of the challenges, advances, and future directions of ferroelectric devices with a focus on the practically most relevant fluorite structure materials.

2. Current and future challenges

There are different challenges with respect to the various applications of ferroelectrics in low power electronics (FeRAM, FeFET, FTJ, and NCFET). Therefore, this section is divided by application.

a. FeRAM.

Due to their CMOS compatibility and relatively high spontaneous polarization, fluorite and wurtzite structure ferroelectrics are the most promising for FeRAM applications.269,275 Currently, one of the main challenges for FeRAM is reliability.258,268 The electric field needed to fully switch the polarization of fluorite and wurtzite structure ferroelectrics is relatively close to their breakdown field strength, which limits the cycling endurance. However, when the cycling voltage is reduced, partial switching results in a reduced switchable polarization.277 This trade-off is exacerbated by the polycrystalline film morphology of fluorite structure ferroelectrics, leading to a distribution of switching fields.278 For wurtzite structure ferroelectrics, further scaling of both the switching field and the film thickness is needed to reduce the switching voltage and to improve reliability. Additionally, fluorite-structure ferroelectrics often exhibit the so-called wake-up effect, where initial endurance cycling increases the switchable polarization.277 Finally, good imprint and retention behavior, especially for reduced film thicknesses and high temperatures, needs to be demonstrated.

b. FeFET.

For FeFET applications, fluorite structure ferroelectrics seem most promising due to their similarity to conventional HfO2 based gate dielectrics.279 In general, parasitic charge trapping phenomena and limited cycling endurance are the most prominent challenges for reliable FeFET operation. The dielectric interfacial layer (IL) between the ferroelectric and the semiconductor channel often limits FeFET memory performance.280 Parasitic charge trapping can lead to undesirable read-after-write latency.281 Furthermore, polarization switching induces a large field across the IL, which can lead to dielectric breakdown.280 When scaling down lateral FeFET dimensions, device-to-device variation might be a concern due to the multi-phase, polycrystalline nature of fluorite structure ferroelectric thin films.282 Therefore, improving the film uniformity will be critical for further FeFET scaling. 3D integration of FeFETs in a 3D NAND architecture is promising to increase the bit density, but challenges due to pass voltage disturbances need to be addressed.283 In general, read and write disturbs need to be improved to increase the size of FeFET memory arrays.284 For applications of FeFETs in neuromorphic devices, achieving linearity and symmetry of conductance modulation with identical voltage pulses is difficult due to the inherent nucleation limited switching dynamics observed in fluorite structure ferroelectrics.285 For 2D van der Waals ferroelectric insulators (e.g., CuInP2S6) and semiconductors (e.g., α‐In2Se3), wafer scale synthesis and integration into FeFET structures must be demonstrated.286,287 Additionally, integration into future 3D device structures will be challenging for van der Waals ferroelectrics. Finally, good reliability of FeFETs based on van der Waals ferroelectrics has not been demonstrated so far.

c. FTJ.

Since the read-out mechanism of FTJs is based on tunneling, ultrathin ferroelectrics such as fluorite structure and van der Waals materials are most promising. One of the main challenges for FTJs is to achieve a large read current while maintaining a high tunneling electroresistance (TER) ratio. For fluorite structure ferroelectric FTJs, the relatively low read current as well as limited cycling endurance and retention need to be addressed.268 In ferroelectric/dielectric double-layer FTJs, the electric breakdown of the dielectric layer with endurance cycling often limits reliability.288 Further reducing the film thickness while still achieving a predominantly ferroelectric fluorite structure film has remained challenging due to the increase in non-ferroelectric and/or amorphous phase fractions. For FTJs based on van der Waals ferroelectrics, high temperature and endurance cycling stability must be demonstrated. So far, the relatively low Curie-temperature of many ultrathin ferroelectrics is detrimental for practical applications.276 For neuromorphic computing, FTJs have similar challenges compared to FeFETs in terms of linearity and symmetry.285 Variability in highly scaled FTJs could be an issue as well.

d. NCFET.

As in the FeFET case, fluorite structure ferroelectrics are currently the most promising for NCFET devices.289 While improvements of EOT, subthreshold swing, on/off ratio, and short channel effects have been demonstrated for fluorite structure ferroelectrics compared to regular dielectric HfO2, achieving below 60 mV/decade subthreshold swing at room temperature has proved difficult.263 This has been related to the large change in the semiconductor capacitance between the device on- and off-state, especially when using silicon.290 Many reported devices show large hysteresis and operating voltages due to transient ferroelectric switching, undesirable for logic devices.291 Such transient NC in FeFETs must be clearly distinguished from stable NCFETs without hysteresis. To further improve NCFET performance, more insight into the microscopic origin of NC in fluorite structure ferroelectrics is needed.289 More accurate multi-domain/multi-phase models need to be developed. Additionally, further decreasing the ferroelectric layer thickness will become necessary for applications in advanced FETs with gate-all-around and stacked nanosheet structures. Therefore, ferroelectrics that cannot be grown on 3D structures by atomic layer deposition (such as van der Waals ferroelectrics) are unlikely to be used in advanced logic NCFETs.

3. Advances and future directions

The following presents a brief overview of select advances in the field, again separated by application.

a. FeRAM.

To improve cycling endurance without sacrificing switchable polarization, La doping of Hf0.5Zr0.5O2 (HZO) has received significant attention.292 Recently, recovery of endurance has been shown by cycling with a lower voltage while using intermittent high voltage cycling to recover the switchable polarization.293 To reduce the switching fields of fluorite structure ferroelectrics, different methods have been proposed and demonstrated. The use of imprinted antiferroelectric films has yielded 1012 cycling endurance due to lower operating voltage, compatible with 3D integrated FeRAM architectures.294 In another approach, HZO/ZrO2 nanolaminates have been used to reduce the average switching field, resulting in improved speed, and cycling endurance.295 This effect has been related to an increase in topological domain walls, which can lower the barrier for polarization switching.296 Recently, HZO films with intercalated Hf/Zr atoms were reported to stabilize the rhombohedral R3m phase, resulting in a lower coercive field (∼0.65 MV/cm) and improved breakdown field strength.297 However, so far, this effect has only been demonstrated for films deposited by physical vapor deposition, which cannot be used for 3D capacitor structures. If this rhombohedral phase can be stabilized in ALD grown HZO films needs to be studied further. Furthermore, inserting different ILs between the ferroelectric and the metal electrodes has been shown to improve both the switchable polarization and the cycling endurance.298 Such interfacial layers can also result in a change in the film texture. For wurtzite structure ferroelectrics, progress has been made in reducing the film thickness of AlScN down to 10 nm and reducing switching fields in ScGaN compositions.299,300 Future FeRAM research should aim at further lowering the switching voltages without compromising switching speed, remanent polarization, and reliability, while also targeting further density increase through monolithic 3D integration.274 

b. FeFET.

Since the IL is critical for FeFET performance and reliability, IL engineering has shown the most promise for improved device behavior. For example, it has been demonstrated that ILs with higher permittivity result in improved cycling endurance, fast read-after-write, and write-disturb immunity.57,301,302 p-type FeFETs with a SiGe channel also showed reduced read-after-write latency.281 Furthermore, IL-free FeFETs have been fabricated using oxide semiconductor channels, resulting in excellent cycling endurance, fast read-after-write, and logic compatible write voltages.303 Integration of fluorite structure ferroelectrics into advanced transistor structures such as FDSOI, FinFET, and gate-all-around FET has been demonstrated.268 Oxide semiconductor based FeFETs have been scaled down to 7 nm channel length.304 3D NAND-like FeFET integration has been shown.283 Recently, interest in devices with a metal–ferroelectric–metal–insulator–semiconductor (MFMIS) structure has increased, which promises higher cycling endurance and reduced charge trapping with a trade-off in retention.305 Fluorite structure based FeFETs have been extensively investigated as artificial synapses and neurons for random number generation, reconfigurable transistors, as well as ternary content-addressable memories.279,285 Semiconducting van der Waals α‐In2Se3 has been used as a high mobility ferroelectric transistor channel, promising new FeFET device architectures with reduced depolarization fields.306 However, more research into the switching speed, reliability, and scalability of such devices is needed. Other FeFETs based on van der Waals ferroelectrics have been demonstrated but generally suffer from lower temperature stability.276 In terms of future trends, it seems like FeFET research is going in the direction of high permittivity ILs or even IL-free while reducing the ferroelectric thickness to lower the operating voltages. Besides silicon and SiGe based channels, oxide semiconductors as well as ferroelectric van der Waals semiconductor FeFETs seem promising for monolithic 3D integration. Fluorite structure based vertical FeFETs will become increasingly important for 3D NAND-like memory architectures. Variability in highly scaled FeFETs needs to be investigated and improved further.

c. FTJ.

For FTJs based on fluorite structure ferroelectrics, reducing the film thickness has been pursued to increase the FTJ on-current. Recently, FTJs with 1 nm thick HZO have been grown directly on silicon for a read current of >1 A cm−2.307 A read current increase has also been reported using atomic layer etching of ferroelectric HZO, resulting in more than two orders of magnitude improvement of on-current and TER.308 Electrode work function engineering has been shown to enable improved retention as well as read-current in double layer FTJs.309,310 Further engineering of the dielectric layer and increasing the Zr content in HZO based double layer FTJs have been shown to result in improved cycling endurance and TER.311 Switchable ferroelectric diodes based on fluorite structure ferroelectrics have been shown to exhibit ultra-high read currents (>200 A cm−2) and good cycling endurance (109) in a monolithic 3D integrated structure without the need for a selector device due to their self-rectifying behavior.312 Fluorite structure FTJs have also been shown to be promising for applications such as artificial synapses and neurons.285 The first demonstrations of van der Waals based FTJs showed a giant TER of up to 107.313 Future research should focus on the FTJ stack optimization to improve TER, cycling endurance, and retention. Ultrathin ferroelectrics seem most promising for increased read current.

d. NCFET.

Pulsed voltage experiments have demonstrated NC effects in fluorite structure ferroelectric and antiferroelectric heterostructure capacitors.314,315 However, the origin of NC in these ∼10 nm thick films is still debated.316,317 Thinner films seem to be needed to access the NC region at lower voltages for logic devices.263 Recently, silicon based NCFETs with an EOT smaller than the SiO2 interfacial layer thickness have been demonstrated.19 The stable NC in these 2 nm thick HfO2/ZrO2/HfO2 superlattice gate stacks has been related to ferroelectric domain wall movement, which is influenced by the partially in-plane polarization and tetragonal phase fractions.318 However, the potential role of topological domain walls in these ultrathin mixed phase films needs further investigation.296 Both p-type and n-type NCFET devices have been demonstrated down to 90 nm gate length, with performance and reliability comparable to conventional devices with 30 nm gate length.319 Further channel length scaling and FinFET or gate-all-around structures with similar gate stacks need to be demonstrated. Engineering of the IL or the use of other channel materials might result in even lower EOT values or potentially below 60 mV/decade subthreshold swing. Variability in highly scaled NCFETs needs to be investigated.

4. Concluding remarks

Ferroelectrics are promising for future low power memory and logic devices due to their electric field control of polarization, resulting in ultra-low switching energies. The availability of scalable and CMOS compatible fluorite structure ferroelectrics enables a straightforward integration of ferroelectrics into advanced semiconductor nodes. To further reduce the operating voltage of such ferroelectric devices, the film thickness and switching fields need to be reduced. The latter could be achieved through topological domain walls, film texture control, or the use of imprinted antiferroelectrics. Careful interface engineering seems to be the most promising way to overcome current reliability concerns. More research into the variability of scaled ferroelectric devices is needed. To improve the device density per area, monolithic 3D integration of ferroelectric capacitors and transistors will be crucial. Besides fluorite structure materials, wurtzite and van der Waals ferroelectrics could be promising for certain applications in low power electronics. However, more research is needed to better understand the advantages and limitations of these relatively new materials.

Jia-Mian Hu (*jhu238@wisc.edu), Zhi (Jackie) Yao (*jackie_zhiyao@lbl.gov), Laurent Bellaiche (*laurent@uark.edu)

1. Status and impact to date

The development and design of ferroelectric materials and devices have benefited significantly from the computational modeling at multiple spatiotemporal scales. For example, at the electronic and atomic scale, first-principles density functional theory (DFT) calculation has been used to search for new compositions of ferroelectric materials with desirable properties, evaluate the relative thermodynamic stability of different polymorphs, and predict the ferroelectric behaviors, including the energy barrier for polarization switching,190 the structure and energies of ferroelectric domain walls,320 the coupling strength between polarization, and other structural/functional order parameters,235 among numerous other things that are predictable within the scope of thermodynamics. However, DFT calculations typically can only be performed at 0 K and are limited to systems with a small number of atoms and electrons due to the high computational cost.

Complementing the DFT, Monte Carlo effective Hamiltonian simulations (purely lattice-based)321–324 and second-principles calculations (incorporating both lattice and electronic degrees of freedom)325–327 can directly take the DFT-calculated parameters as the input, enabling finite-temperature calculations via methods like the metropolis algorithm and simulating systems of larger spatial scales than DFT due to the fewer number of degrees of freedom (e.g., a local soft mode related to polarization and a displacement related to strain) in each unit cell. Both the effective Hamiltonian and second-principles calculations can be effectively used to predict the stable/metastable atomic-scale polarization configuration under various external stimuli under realistic mechanical and electrical boundary conditions at finite temperature. Moreover, by using effective Hamiltonian within the Hybrid Monte Carlo (HMC) scheme, large-scale simulations of materials systems containing millions of atoms can be achieved due to the computational efficiency of HMC in parallelization (e.g., via graphics processing unit, or GPU) and incorporating long-range (dipolar) interaction.328,329 Furthermore, by implementing the effective Hamiltonian or advanced interatomic potential in the framework of molecular dynamics,330–333 various time-dependent phenomena (e.g., polarization switching, domain wall motion) can be modeled at the atomic scale with first-principles accuracy.

At a larger spatial scale (mesoscale), phase-field simulations334 have been widely used to understand and predict the ferroelectric phase transition, equilibrium polarization, and strain pattern and their dynamical evolution in a wide variety of ferroelectric systems. Numerous successes have been achieved over the past two decades (see recent reviews335). The phase-field method leverages the Landau theory of phase transition336 and diffuse-interface theory337 to construct symmetry-consistent thermodynamic potential for spatially inhomogeneous material systems. It enables solving the equation of motion for multiple coupled order parameters to simulate the co-evolution of multiple coupled domain patterns (e.g., ferroelectric, ferroelastic, and ferromagnetic) as well as the properties. The phase-field method is readily applicable to a broad range of ferroelectric systems ranging from single crystals to single- or multi-phase polycrystals. The use of continuum order parameters allows for a coarse graining representation of a material system, which allows simulating systems of larger spatial scales. The thermodynamic and kinetic parameters used in phase-field simulations can either be computed from first-principles calculations or obtained by fitting experiments.

Ferroelectric materials have immense potential in various innovative microelectronics devices, including ferroelectric capacitors (FeCaps), ferroelectric tunnel junctions (FTJs), ferroelectric field-effect transistors (FeFETs), and negative capacitance field-effect transistors (NCFETs). These devices find applications in diverse areas such as memory storage, logic-in-memory architectures, oscillators, and sensors. To integrate them into circuits and architectures, developing a Simulation Program with Integrated Circuit Emphasis (SPICE)-compatible circuit models (also referred to as compact models) is crucial. These models aim to optimize system performance by identifying the optimal design parameters. Researchers have made significant strides in developing these models, balancing computational efficiency and physics precision, using notable models like the Preisach model of hysteresis,338 the empirical Kolmogorov–Avrami–Ishibashi (KAI) model, and the nucleation-limited switching model.339 A recent trend is the incorporation of the Landau formalism for enhanced accuracy.340 Traditional circuit models often lack spatial dependencies, posing challenges in predicting multi-domain effects. To address this limitation, the ferroelectric layer is partitioned into multiple capacitors, each governed by an independent single-domain time-dependent Landau-Ginzburg equation.341,342 Crucially, ferroelectric circuit models must be seamlessly integrated and interconnected with other parts of the circuit models. For instance, in FeFETs and NCFETs, the ferroelectric circuit model must be considered alongside the established MOSFET circuit model, such as Berkeley Short-channel IGFET Model (BSIM) models.339 Equating the ferroelectric-induced gate charge with that derived from existing MOSFET models allows for the effective capture of the effects of the ferroelectric layer in the gate capacitor. This includes their I–V relationships in the entire FET models. These models have greatly facilitated the design and fine-tuning of circuits with ferroelectric components, expanding the range of potential applications.

2. Current and future challenges

a. Materials modeling challenges.

Hafnia (HfO2) and its solutions (Hf1−xZrxO2) can display ferroelectricity when their non-equilibrium orthorhombic or rhombohedral phase can be stabilized. In contrast to archetypical ferroelectrics ABO3 perovskites, where ferroelectricity diminishes in the ultrathin film due to depolarization, hafnia displays robust ferroelectricity even when the thickness reduces to only one unit cell.271 In combination with its high compatibility with existing semiconductor manufacturing platforms, hafnia offers an exceptionally exciting prospect for application in a wide range of ferroelectric devices and has attracted a significant amount of attention in the ferroelectrics community. Despite intense theoretical and experimental efforts,343 the fundamental understanding for the origin of the ferroelectricity, mechanisms for stabilizing the metastable ferroelectric phase, and other behaviors (e.g., wake-up) that depart from conventional ABO3 ferroelectrics is still far from complete.344 The current status and challenges of computational modeling in addressing these science questions and guiding the material design have been discussed in Ref. 343.

Another promising system is III-nitride (N) ferroelectrics such as Al1−xScxN.275,345–352 Compared to Hf1−xZrxO2, wurtzite Al1−xScxN exhibits a remanent polarization more than three times higher and a back-end-of-line (BEOL) compatible growth temperature of below 350 °C. Furthermore, Al1−xScxN can be naturally integrated with other III-N semiconductors, enabling novel functionalities, such as enhanced sheet charge densities, in III-N heterostructures for applications like high electron mobility transistors. One key challenge facing the application of Al1−xScxN is its large switching (coercive) voltage, which currently exceeds CMOS compatibility. Challenging questions that computational models can help address include What novel compositions/interfaces can lead to low switching coercive voltage? How to understand and predict the energetics and kinetics of atomic-scale and mesoscale polarization switching behaviors in such Wurtzite ferroelectrics? How to understand the wake-up behavior? How to understand the effects of point defects and strong temperature dependence353 on the polarization switching? How to understand the influence of geometrical confinement, size, and strain in AlScN-based nanostructures (e.g., nanowires and nanodots) and heterostructures (e.g., superlattices and thin films)? Despite a few excellent computational works,354–356 these questions still require further clarification.

Van der Waals (vdW) layered materials are another class of promising materials that can display robust ferroelectricity in the two-dimensional (2D) limit.357 vdW ferroelectrics can also accommodate novel polarization switching pathways and other exotic functionalities. A notable example is the sliding ferroelectricity where the out-of-plane polarization is switched by in-plane interlayer sliding, and the low switching barrier of such a pathway offers the exciting prospect of realizing ultra-high-speed polarization switching with low energy cost yet maintaining robustness against thermal fluctuations.358 Current status and challenges in computational modeling of vdW ferroelectrics have been discussed in recent review and perspective articles.358–360 

b. Device modeling challenges.

A key challenge to modeling ferroelectrics-based microelectronic devices is the orders of magnitude of mismatch between the nanometer (nm)-scale heterogeneity inside the ferroelectric material (e.g., domain walls) and micrometer (μm)-scale device structure. Specifically, a rule of thumb for phase-field modeling of ferroelectric materials is that the largest simulation cell size cannot exceed 1/3 of the ferroelectric domain wall width (typically 1–10 nm and down to one- or two-unit cells361), since at least three cells would be needed to describe a diffuse interface. When modeling polar vortices in PbTiO3/SrTiO3 superlattices,362 a simulation cell size as small as 0.4 nm is required to capture the rotation of the polarization vector unit cell by unit cell. This constraint makes it computationally expensive or even unaffordable to simulate millimeter-scale device architecture even using mesoscale computational models such as phase-field. In addition to the spatial-scale mismatch, the mismatch in the temporal scales of multiple concurrent physical processes in ferroelectric devices would further increase the computational cost. For example, modeling devices like FeFETs is challenging due to their intrinsic multi-physics nature involving ferroelectric polarization switching, semiconductor electron transport, and electrostatics. Accurate numerical coupling schemes are essential, but current approaches lack full 3D consideration of the device structure, especially in complex designs such as FE-finFET. Therefore, there is an urgent need for an accu