Ferroelectric hafnium and zirconium oxides have undergone rapid scientific development over the last decade, pushing them to the forefront of ultralow-power electronic systems. Maximizing the potential application in memory devices or supercapacitors of these materials requires a combined effort by the scientific community to address technical limitations, which still hinder their application. Besides their favorable intrinsic material properties, HfO2–ZrO2 materials face challenges regarding their endurance, retention, wake-up effect, and high switching voltages. In this Roadmap, we intend to combine the expertise of chemistry, physics, material, and device engineers from leading experts in the ferroelectrics research community to set the direction of travel for these binary ferroelectric oxides. Here, we present a comprehensive overview of the current state of the art and offer readers an informed perspective of where this field is heading, what challenges need to be addressed, and possible applications and prospects for further development.
I. INTRODUCTION
Uwe Schroeder and José P. B. Silva
In the last decade, ferroelectric (FE) hafnium (HfO2) and zirconium (ZrO2) oxides have been intensively investigated, and tremendous progress has been made. Non-FE HfO2 has been typically used in the mass production of complementary metal-oxide semiconductors (CMOSs) as a high-permittivity (k) gate insulator in high-performance field-effect transistors (FETs). The discovery of ferroelectricity in 2006, five years before the first publication about the FE properties of Si-doped HfO2 thin films, has revolutionized the research in the field both from the fundamental and from the application point of view. These surprising results were originally unexpected because fluorite crystal structures have well-established phase diagrams, and they do not show any polar phase that is thermodynamically stable under normal fabrication conditions. Yet, the FE phase in HfO2- and ZrO2-based materials is accepted as the metastable orthorhombic (o) oIII phases (space group: Pca21) and the polar rhombohedral (r) phase (space group: R3m). Devices based on FE HfO2- and ZrO2-based materials could greatly benefit from the CMOS compatibility and potentially disentangle the energy efficiency problem of scaled semiconductor technology.
For these reasons, researchers have significantly increased their understanding of the material class, concerning, for example, the requirements to stabilize those FE phases or by exploring a large number of causes such as doping, oxygen vacancies, surface energy, and stress to enhance the FE properties. Interestingly, the success of this original high-performance material rejuvenated the search by theoreticians and experimentalists to look beyond and explore further promising applications. Over the past 10 years, their applications have grown from FE capacitors, transistors, and tunnel junctions for non-volatile memory applications to negative capacitance, logic-in-memory, neuromorphic computing, supercapacitors, and pyroelectric- or piezoelectric-based applications.
In this Roadmap, we overview important research aspects concerning FE HfO2- and ZrO2-based materials. In Sec. II, we discuss the emergent field of FEs, while in Sec. III, we address some fundamental properties and the specific features of this material class. In Secs. IV and V, we cover aspects of bulk and thin film fabrication. The growth of single crystals has been demonstrated, while the fabrication of these materials films has been improved considerably over the last few years. Nevertheless, further improvement of the growth conditions is still an important research field, and the processing of FE HfO2- and ZrO2-based thin films from chemical methods up to physical ones needs further investigation. The characterization and properties (see Sec. VI) have been significantly improved since the first report in 2011. Different strategies, such as doping, defect engineering, interface engineering, electrode optimization, and the formation of laminated structures, have been intensively investigated. However, several details of the underlying physical mechanisms are still not completely understood. In Sec. VII, we outline the current status and future challenges of different devices that are currently being investigated with the use of FE HfO2- and ZrO2-based thin films. The major challenges and aspects that need to be considered and improved for broader applicability of these materials are addressed in Sec. VIII. Currently, the correct and precise measurement of FE HfO2- and ZrO2-based devices is still an issue. In addition, the need to fade the wake-up effect in order to improve reproducibility is still highly demanded. Another major challenge that remains to be solved is the possibility to decrease the switching voltages of these devices. Even though there has been significant progress, the device retention and endurance still need to be improved. Finally, we include a perspective on commercial market opportunities in non-volatile memories (Sec. IX) and an industry perspective (Sec. X) where these materials can play a crucial role.
Regardless of these challenges concerning understanding materials properties and device physics, there has been tremendous progress on these materials, and there is no FE material in recent years that attracted such high interest for ultralow-power electronic systems (Sec. VII, Table I).
Comparison of different operation parameters for various volatile and non-volatile memory device examples.1–7
Volatile . | Non-volatile (FeRAM) . | ||||
---|---|---|---|---|---|
Structure | 6T (SRAM)3 | 1T1C (DRAM)4 | 1T1C5,6 | 1T1C7,8 | 1T1C1 |
Minimum write voltage (V) | ∼1.1 | ∼1.1 | 2.0 | 2.5 | 0.6 |
Write latency (ns) | <1 | <20 | 16 | 4 | 20 |
Retention | ⋯ | 32–64 ms | >103 min @ 85 °C | >102 min @ 85 °C | Not reported |
Endurance (cycles) | >1015 | >1015 | >1015 | >107 | Not reported |
Array size | 4 MB (L1) | 16 Gbit | 64 kbit | 16 kbit | 8 Gbit |
Volatile . | Non-volatile (FeRAM) . | ||||
---|---|---|---|---|---|
Structure | 6T (SRAM)3 | 1T1C (DRAM)4 | 1T1C5,6 | 1T1C7,8 | 1T1C1 |
Minimum write voltage (V) | ∼1.1 | ∼1.1 | 2.0 | 2.5 | 0.6 |
Write latency (ns) | <1 | <20 | 16 | 4 | 20 |
Retention | ⋯ | 32–64 ms | >103 min @ 85 °C | >102 min @ 85 °C | Not reported |
Endurance (cycles) | >1015 | >1015 | >1015 | >107 | Not reported |
Array size | 4 MB (L1) | 16 Gbit | 64 kbit | 16 kbit | 8 Gbit |
In this Roadmap, we overview the current status of the research of FE HfO2- and ZrO2-based materials and indicate promising directions for future research efforts.
II. THE FIELD OF EMERGENT FERROELECTRICS
Lane W. Martin
A. Status
While the 100th anniversary of the discovery of ferroelectricity has come and gone, the field of stands poised to enter a new era driven, in part, by the discovery of new classes of FE materials and a renewed interest in their application.9 As it was in the middle of the last century, challenges of the day are bringing about fundamental and applied advances to meet these challenges.
The term “emergent ferroelectrics” captures the surprise that has accompanied the discovery of ferroelectricity and exotic phenomena in novel materials and heterostructures and points to a challenge. While properties such as piezoelectricity and pyroelectricity are directly related to material symmetry,10 ferroelectricity has an empirical definition—polar materials that exhibit two or more orientational states in the absence of an electric field, which can be switched from one to another with an electric field. As such, identifying FEs relies on our (in)ability to both synthesize and characterize materials in a way the supports this function. The advances in synthesis and characterization that will be reviewed later have unleashed new worlds of phenomena. Even in traditional perovskite (ABO3) FEs, our ability to heterostructure materials with atomic-scale control has begotten unexpected polar structures, including vortices, skyrmions, merons, and more [Fig. 1(a)].11–13 Simultaneously, research studies have been predicting and in some cases experimentally demonstrating ferroelectricity in novel materials, such as 2D van der Waals layered materials [e.g., transition metal dichalcogenides, group IV monochalcogenides, metal triphosphates, layered perovskites, layered nitrides, and indium selenide (In2Se3)] [Fig. 1(b)]14–16 and wurtzite-structured materials (e.g., Al1−xScxN, Al1−xBxN, and Zn1−xMgxO).17,18
(a) Cross-sectional, atomic-resolution scanning transmission electron microscopy (scanning-TEM) polarization-map of polar vortices in (PbTiO3)n/(SrTiO3)n superlattices. Adapted from Ref. 13. (b) Schematic (left) and cross-sectional TEM image (right) of a CuInP2S6 (CIPS) device structure. Adapted from Ref. 16. (c) Cross-sectional scanning-TEM image of ultrathin Hf0.8Zr0.2O2 heterostructures (left) and a schematic illustration of the same (right). Adapted from Ref. 19.
(a) Cross-sectional, atomic-resolution scanning transmission electron microscopy (scanning-TEM) polarization-map of polar vortices in (PbTiO3)n/(SrTiO3)n superlattices. Adapted from Ref. 13. (b) Schematic (left) and cross-sectional TEM image (right) of a CuInP2S6 (CIPS) device structure. Adapted from Ref. 16. (c) Cross-sectional scanning-TEM image of ultrathin Hf0.8Zr0.2O2 heterostructures (left) and a schematic illustration of the same (right). Adapted from Ref. 19.
While these observations have been highly touted, perhaps no other single area in the field has caught the attention of scientists and engineers alike in the last decade than the study of HfO2- and ZrO2-based compounds [Fig. 1(c)].20,21 The observation of the FE response in such materials has opened a more straightforward door for direct integration of FE properties into CMOS processes (something more challenging with traditional perovskite FEs). The report of ferroelectricity in these materials was not, however, immediately embraced across the community. This skepticism likely arose from the same challenges hinted at before concerning the definition of ferroelectricity. The community was fresh off of an explosion of work on multiferroics in which the combination of new materials, characterization techniques, and the expansion of researchers to fields outside of FEs led to numerous questionable reports of ferroelectricity [culminating in the (in)famous report of FE bananas].22 Just a few years later, reports of ferroelectricity, seemingly non-polar materials, such as HfO2-based systems, quickly drew questions from a (perhaps, rightly) conservative community. While it took a few years to convince the world that these observations were not just artifacts from defects or charge trapping, this careful approach has laid a robust foundation for what has been done in the last half-decade.
B. Current and future challenges
First, while advances in computational/theoretical predictions, synthesis, and characterization have been critical in advancing this field, they also open new challenges. For example, the rapid expansion of predicted candidate FEs means that experimentalists struggle to realize the “diamonds in the rough.” This is exacerbated by challenges in producing these chemically diverse systems, in addressing their stability for study, and in rationalizing their (sometimes) exotic behavior (thus raising the question if these are truly novel phenomena or simple artifacts of the measurement process on new materials). At the same time, there is a trend to push the limits of materials in terms of size (thickness and lateral scaling), time (how fast can a material switch), energy (what is the minimum voltage/energy for actuation), and susceptibilities (how responsive can the material be). These are important questions for materials that are increasingly transitioning from “next-generation” to “this-generation.” The challenge is balancing fundamental science studies and engineering applications—there is probably little argument that real progress in both will require the support of the other.
In HfO2- and ZrO2-based materials, this competition between fundamental and engineering efforts has been at play for much of the last decade. Melding these approaches has enabled rapid progress in some areas, while other topics remain open. First and foremost, a full understanding of the mechanism for stabilizing the metastable FE phase remains a matter of discussion. While there is more widespread agreement on the different structures that can and have been observed, the reasons why are more varied (from surface/volume-energy, to doping, to strain, to defects, to kinetic arguments, and more). Especially to those new to the community, the fast-moving and wide-ranging discussion can seem incongruent and contradictory. This is further complicated by disparate approaches to studying these materials (e.g., polycrystalline vs single-crystalline films, thick vs thin films, different synthesis methods, and different electrodes), which can make the narrative overwhelming. Even in the face of this lack of clarity, some are (rightly) pushing forward to try to improve the overall performance. (Effectively saying, “I don’t care how it works, as long as it does.”) Such efforts have clarified some points (e.g., the role of defects in the wake-up process), while others remain to be fully addressed (e.g., routes to reduce the coercive voltage, improve reliability, assure retention, and reduce fatigue and imprint). These materials also present exotic new effects—including robust polarization in ultrathin (<10 nm) films and inverse size effects19 (which are potentially explained by exotic lattice dynamics)23—which are important observations but remain to be fully fleshed out. Finally, looking at the utilization of these materials, while considerable effort has been put into the exploration of these materials for application in logic and memory, the question arises as to the suitability of these materials for the wider range of FE applications and devices.
C. Advances required to meet these challenges
A number of themes begin to arise that could show the community how to address these challenges. First is to embrace the unique perspectives and approaches of fundamental and engineering sciences. Continued collaboration between academia and industry and coordinated efforts to share the most important questions with one another will accelerate real solutions. Second, as the introduction of new materials welcomes a wider swatch of science and engineering to the interesting (and often complex) world of FEs, the community should be sure to embrace (and remind themselves of) the lessons from work on thin-film FE devices at the end of the last century as well as lessons from thin-film epitaxy in more traditional FEs. While the materials might be different, the challenges might be the same. Much has been learned about how to address interfaces, alleviate imprint and fatigue, and study defects and how to subtly manipulate polarization processes. Third, welcoming input from other (perhaps less obvious) communities. For example, as there is growing consensus that oxygen vacancies play an important role in mediating the stabilization of the desired phases in the HfO2-based systems, exploring the work of those in the solid-oxide electrochemistry world could provide new ways of assessing and confirming understanding. Simultaneously (and this is happening already), the community needs to tap into a wider array of measurement approaches (e.g., state-of-the-art microscopes, synchrotron-based structural and spectroscopic approaches, and in-operando studies). Among such areas, there is an urgent need in the realm of the science of material growth. Introducing new metrologies to study the growth of these materials (in situ during the process) and working toward real-time feedback could be critical for them really becoming viable in industry. Finally, focused attention on addressing deficiencies in the materials. For example, in the HfO2- and ZrO2-based systems, despite the ability to make ultra-thin films, the coercive voltage remains a challenge. If, for example, the coercive field (Ec) is 2000 kV/cm for even a film that is just 5 nm thick, we would require a voltage of at least 1 V to switch the material. Current goals are to drive switching voltages down to just 0.1 V—an improvement of 10× from where we are today. Addressing these challenges is a key part of the future of these materials.
D. Concluding remarks
All in all, it is an exciting time to be working on FEs. The urgency with which these materials are being discovered and considered for an array of applications provides a renewed energy. At the same time, we must maintain a keen awareness that no single material or class of materials is likely to address the diversity of applications that call for FEs. In other words, there is no “cure-all” solution to what ails us. There are, as there always has been, every growing and changing need for functional materials in information technology, communications, healthcare, national security, energy efficiency, and beyond. “Emergent ferroelectrics” are poised to play a critical role in addressing some of these challenges. The diversification of materials begets a diversification of opportunities to really change how things are done in many areas. Our role as scientists and engineers is to make those connections and find the right approaches to address these societal challenges.
III. FUNDAMENTAL MATERIALS PROPERTIES
A. Simulation
Karin M. Rabe, Alfred Kersch, and Jorge Iñiguez
1. Status
Simulations play an increasingly important role in understanding and guiding experimental work on complex functional materials, particularly FEs and related compounds. Computations of total energy can be used to explore the structural energy landscape and identify candidate metastable phases. Quantitative predictions of the crystal structure parameters, phonons, elastic constants, polarization, and related properties, such as piezoelectricity and pyroelectricity, can be used to identify the phases in experimental bulk and thin films. Computations of minimum barrier paths connecting different structures, domain wall energetics and motion, defect energetics, and diffusion can assist in understanding electric field switching.
Initial simulation studies of HfO2 and ZrO224,25 covered the structural properties and free energy of the polar o-Pca21 phase (standard FE phase) and the possible occurrence of competing polar o-Pmn21, r-R3,24–27 and other phases. The metastable, tetragonal (t) P42/nmc state plays a special role as its comparatively large entropy enables first-order temperature-induced phase transitions from the polar phase.28 The t-phase has more favorable energy in ZrO2 than in HfO2,29 which is a major reason for the relatively easy formation of HfxZr1−xO2 (HZO). A second polar phase has been experimentally detected, which could be r-R3 or R3m27 or even o-Pmn21,26 the signature XRD peak of these phases being similar. An overview of the lowest energy structures can be found in Fig. 2.
(a)–(k) Crystal phases with the increasing total energy. Nonpolar oxygen (red), polar oxygen (yellow), and polar oxygen compensated within the crystal (orange). Adapted from Ref. 29. (f) and (g) The 24- and 12-atomic pseudocubic cells and the 12- and 6-atom orthorhombic unit cells are contained in a 45° rotated cuboid with half the area in the plane.
(a)–(k) Crystal phases with the increasing total energy. Nonpolar oxygen (red), polar oxygen (yellow), and polar oxygen compensated within the crystal (orange). Adapted from Ref. 29. (f) and (g) The 24- and 12-atomic pseudocubic cells and the 12- and 6-atom orthorhombic unit cells are contained in a 45° rotated cuboid with half the area in the plane.
In single crystalline HfO2 and ZrO2, the calculated free energy of the polar Pca21 phase is above the monoclinic (m) P21/c ground state. Favorable conditions for the thermodynamic stability of poly- or monocrystalline polar Pca21 investigated in simulation include the following: (i) the film is very thin or polycrystalline with grains smaller than a few nanometers,30 (ii) there are favorable elastic boundary conditions,31 (iii) the crystallization is far from thermal equilibrium,32 (iv) there is intrinsic doping by oxygen defects,33 and (v) there is extrinsic doping with a suitable dopant on the level of a few percent,34–36 possibly inhomogeneously distributed.37 Si, La, Y, and Al have been identified as the most favorable dopants. HZO is an important example where doping alone does not seem sufficient to stabilize a polar ground state. Nevertheless, under the described circumstances, polar Pca21 is energetically sufficiently stable, and its formation is favored, but the dynamic phase stabilization mechanism remains to be elucidated.
The piezoelectric constants of HfO2 and ZrO2 were calculated to be relatively small38 and unusually negative, which is explained by the chemical bonds of the polar oxygens.39 Interestingly, large and positive electro-strain effects were also found, and it is under discussion whether they indicate an alternative switching pathway40–42 or a competing field-induced phase transformation from a remaining t-phase fraction.43 The pyroelectric constants are also unusual because the primary and secondary pyroelectric coefficients add up and become attractively large; the effect is enhanced close to the polymorphic phase boundary.38,44
2. Current and future challenges
Phonon calculations for the cubic fluorite structure24 show that there is no unstable polar mode, suggesting that this system should be considered as an improper ferroelectric. Moreover, the formation of the polar phase from the t-P42/nmc polymorph and the polarization reversal require multiple phonons25,45,46 resulting from anharmonic couplings, which complicate the understanding of ferroelectricity in fluorites compared to perovskites. In addition, Lee et al.23 suggested that the marginal dispersion of phonon bands in the tetragonal state should have a strong influence on the switching dynamics, which may be dominated by nucleation with very slow growth.
The phonons are an initial indicator of minimum energy paths connecting the metastable phases. In addition to the standard pathway for polarization reversal,24 alternative pathways have been systematically searched47 as they may play a role in FE switching. Several approaches to the reversal have been explored in simulations, but no unambiguous model has been found yet. (i) The Kolmogorov–Avrami–Ishibashi (KAI) model48 successfully describes the kinetics of FE switching in single-crystal perovskites. The model is characterized by lateral growth or shrinkage of pre-existing domains of opposite polarity. The critical interfacial energy and barrier parameters for domain motion were calculated, and either low interfacial energy with a very high barrier23,49 or a low barrier but very high interfacial energy50 was determined. Not all possible configurations have been investigated yet. (ii) In the Nucleation-Limited Switching (NLS) model,48 a nucleus with opposite polarization is randomly generated in the polarized domain by thermal activation and grows inexorably when the critical size is exceeded. The critical parameter to be studied in the simulation is the interfacial energy, most simply of 180° domain walls, rather than mobility, since nucleation is limiting. The experimental results from Ref. 51 are in favor of the NLS model. (iii) According to the Landau–Khalatnikov (LK) model,48 there are independent crystal-like domains (grains or crystallites within a grain) in which the switching is homogeneous so that only the minimum energy barrier between initial and final homogeneous polarization states is the relevant parameter. Here, it is immediately observed that the predicted Ec is almost an order of magnitude too large as compared to experiments.25,52 In addition to the FE domain wall (DW), the interfaces and their energies between the t-phase and the polar phase were also calculated53 since they are important for the formation of the polar phase from the tetragonal state and for the antiferroelectric field-induced phase transformation.
3. Advances required to meet the challenges
The simulation of basic material properties is well developed, but the functionals used in the first-principles calculations have not yet been systematically validated for HfO2 and ZrO2. For example, the calculated kinetic barriers significantly depend on the chosen functional, and experiments are needed to validate the predictions. Hybrid functionals provide accurate results for electronic defect levels54 but have yet to be systematically applied with realistic, sufficiently large supercells. First-principles theory suggests that the polar phase can be viewed as generated from the cubic fluorite structure through the coupling of multiple phonon modes25,45,46 and that FE polarization has an improper character. However, experimentally, a sharp permittivity peak has been observed during the temperature-controlled transition from the polar to the tetragonal phase,28 which is typical for the proper behavior. This suggests that the known theoretical multimode expansion corresponding to the T = 0 K limit is not suitable to describe the experiments; therefore, molecular dynamics studies based on either first-principles or using machine-learned potentials are needed. These approaches may also elucidate the field-induced transition from the tetragonal to the polar state, which may allow us to obtain giant piezoelectric and pyroelectric effects.
The systematic development and application of machine-learned potentials also offer hope in other important areas. Some potentials of this kind have already been developed for HfO255 and ZrO2,44,56 but not yet for doped or defective materials. They are critical for studying polarization reversal, domain nucleation, and growth. More realistic potentials (capable of accounting for chemical bond breaking and formation) will be crucial to study the thickness dependence of the polar phase in thin films or the mechanisms for polar phase stabilization in grains. Combined with kinetic Monte Carlo techniques, these potentials—and potentially other simplified models, such as cluster expansion approaches57—will eventually enable us to study the behavior of extrinsic defects and fatigue and wake-up mechanisms. Finally, simple phase field models have been developed to address HfO2- and ZrO2-related materials and discuss their electrical behavior in devices (e.g., in the context of negative capacitance studies58,59). However, given the polymorphic nature of these materials and the non-standard nature of their ferroelectricity, the applicability of simple Ginzburg–Landau potentials is questionable and further work is needed to derive appropriate and reliable continuum models.
4. Concluding remarks
The discovery of ferroelectricity in HfO2 and related compounds has brought new challenges to the theory and simulation of FEs, as well as a sense of urgency for methodological innovation. HfO2 offers unquestionable and (among FEs) unparalleled technological promise. However, it is still controversial whether and how HfO2 deviates from the standard model of FEs in the soft mode property, which is best represented by perovskite oxides. Thus, HfO2 forces us to rethink our theories and simulation approaches to ferroelectricity, to revisit assumptions that would otherwise seem obvious, and to keep an open mind for new possibilities. Many distinctive properties of HfO2 FEs, including structural, electromechanical, and dopant-related behaviors, have already been captured by first-principles simulations, while others, including phase formation, dynamics of polarization reversal, and extrinsic contributions, have so far eluded a good understanding. As discussed in this piece, new first-principles-based approaches, such as machine-learned potentials and kinetic simulations, are needed to tackle these challenges. The experience so far suggests many exciting discoveries ahead. The journey has just begun.
5. Acknowledgments
J.Í. acknowledges the support from the Luxembourg National Research Fund through Grant No. INTER/NWO/20/15079143/TRICOLOR.
B. Ferroelectricity
Cheol Seong Hwang, Seungyong Byun, and Kun Hee Ye
1. Status
When Böscke et al. first reported the FE properties from the Si-doped HfO2 film having the TiN electrodes in 2011,60 the FE community encountered difficulties in accepting the finding due to several reasons. First, the doped-HfO2 film has already been in mass-production as the high-k gate dielectric layer in high-performance CMOS devices, where the FE-hysteresis is detrimental. Second, HfO2 (isostructural ZrO2, too) is a well-studied material with an established phase diagram where no polar phase is reported.21 Third, the FE phase evolution is critically dependent on not only the material parameters and process variables but also film thickness, which significantly complicates the identification of the critical parameters, such as remanent polarization (Pr) and Ec.21 However, the intense and collaborative research worldwide during the past decade convinced the community of the robustness of the FE performance of the doped HfO2 films, including the (Hf, Zr)O2, which offers from a dielectric (Hf-rich) to FE (∼5:5) to antiferroelectric (AFE) (Zr-rich) performances. In addition, the well-established contamination control protocols in the mass production line, due to the already matured production of the high-k CMOS devices, facilitate the acceptance of the material in the semiconductor industry at an unprecedented pace.
It is now well accepted that the FE properties of fluorite-structured HfO2-based materials are ascribed to the o-Pca21 structure. However, it differs from conventional perovskite-structured FE materials, such as Pb(Zr, Ti)O3 (PZT), in that the former has a non-centrosymmetric distribution of oxygen ions, whereas the latter has a non-centrosymmetric distribution of cations (Ti, Zr). The origins of the specific atomic configuration of the unit cell structure in these materials have been recently understood from the density functional theory (DFT) for phonon calculation. It shows that the high symmetry cubic phase (space group: Fm-3m) has an imaginary frequency phonon mode at the Brillouin zone boundary. This phonon mode induces the antiparallel displacement of oxygen ions to cause a transition into a t-phase (space group: P42/nmc).61 The FE o-phase can be formed via the splitting of the phonon modes of the t-phase into the zone center mode (parallel movement of all oxygen ions along the +z direction) and the zone boundary antipolar mode (antiparallel movement of the half of the oxygen ions along ±z directions, respectively). Due to the coupling of the two phonon modes, FE o-HfO2 has a structure in which a non-polar spacer layer and a FE layer are repeated. Because of the presence of the spacer layer, it shows different domain properties from the perovskite FEs, which is induced from the only zone center soft phonon mode. Such a two-layer structure, parallel to the spontaneous polarization (Ps) direction (c axis), reduces the elastic and electrostatic interaction between the neighboring unit cells, decreasing the 180° domain wall (DW) energy to even a negative value. This feature may decrease the DW thickness to the sub-monolayer level. However, it should not be overlooked that many other types of DWs with much higher DW energy have been experimentally observed.62 More detailed discussions on the DW configuration are given in another section (Choe and Heo).
2. Current and future challenges
Actually, the FE domain structure with a unit-cell thickness corresponds to the AFE Pbca structure, so it cannot represent the macroscopically observed FE property of HfO2. Interestingly, the recent DFT calculations show that the AFE Pbca structure has a lower free energy than the FE Pca21 structure.23 As discussed in detail in another section, the formation of the FE-HfO2 phase is dominantly controlled by kinetic factors rather than the thermodynamic driving force, such as the energy barrier between the tetragonal phase and orthorhombic phases.21 Therefore, an energetically favorable AFE Pbca structure, in addition to the thickness-dependent phase stability of different phases (AFE Pbca or field-induced FE P42/nmc < ∼5 nm; dielectric P21/c > ∼20 nm), complicates the practical fabrication of the high-performance metal–ferroelectric–metal (MFM) capacitor structure. The most feasible FE performance is generally achieved at a thickness of ∼10 nm, in which FE Pca21 remained intact even under the absence of the field due to the high barrier for the transition from FE Pca21 to AFE Pbca. In addition, the stability of AFE Pbca over the FE Pca21 phase renders the fatigue behavior21 (reduction of Pr with the increasing switching cycle number) different from the conventional perovskite FE materials, where the production of oxygen vacancies and accompanying domain pinning constitutes the primary mechanism. The electrical cycling provides the FE Pca21 phase with the energy to overcome the barrier to recover AFE Pbca without generating additional oxygen vacancies. In this case, the fatigued film could be rejuvenated to the FE phase by applying a slightly higher poling voltage without increasing the leakage current.63 In addition, the most commonly adopted TiN electrode almost always involves non-FE interfacial layers. All these factors render practically achieving the theoretical Pr value (∼51 µC/cm2)41 challenging. Nonetheless, optimizing the process variables, such as annealing temperature, time, and cooling rate; changing the electrode from conventional TiN to W;64 and adopting interfacial layers, such as HfON, have significantly improved the achievable Pr of a technically viable polycrystalline film from ∼15 to >35 µC/cm.10,65
In this regard, the growth of the FE-phase-pure epitaxial film must be a crucial task to prove the intrinsic FE performance of the films. This task has been challenging because aligning the [001] Ps direction to the out-of-plane direction has been hampered even on the lattice-matched Y-stabilized ZrO2 single crystal substrate, which might be ascribed to the intricate kinetic process of the FE phase formation during cooling.66 However, recent work demonstrates that the careful control of the growth condition and cooling step can deposit a phase-pure epitaxial 5% Y-doped HfO2 film on a LaSrMnO/SrTiO3 substrate, alleviating the rhombohedral distortion.67 Interestingly, the (111)-oriented Y-doped HfO2 film grown on a (011)-oriented substrate had a maximum Pr value of ∼50 µC/cm2, demonstrating that the Ps value along the (001) direction was close to the theoretical value.
3. Advances in science and engineering to meet these challenges
These findings indicate that the robust ferroelectricity of doped-HfO2 films is now well-proven in both polycrystalline and epitaxial films. Nevertheless, the interwound influences of the process/material variables and film thickness effects, especially for thinner (≪10 nm) films, pose significant challenges in fabricating nanoscale electronic devices. For example, to manufacture the ferroelectric random-access memory (FeRAM) or ferroelectric field-effect transistors (FeFETs) with dimensions comparable to the state-of-the-art dynamic random-access memory (DRAM) and NAND flash memory, the film thickness must be <5 nm. Therefore, the recent report on the FeRAM cell fabrication with an ∼17 nm-design rule DRAM capacitor structure with the standard TiN electrode1 is notable. Even though the 4–6 nm-thick (Hf, Zr)O2 films showed distorted polarization–voltage curves, the thinner film had an even better charge response to the given short voltage pulses. Furthermore, adopting the production-worthy atomic layer deposition (ALD) process demonstrated the already well-established fabrication process of these relatively new materials in the CMOS line.
In academia, researchers attempted to explore the lower limit of the film thickness retaining the FE performances.68,69 Although several reports argued even sub-nm thickness (only one unit cell thickness) films showed robust FE properties, the direct structural and electrical evidence is still being debated.
In addition, the progress in the scanning TEM with the spherical aberration correction (Cs-STEM) provided the community with more direct imaging of the oxygen ion positions within the unit cell, which has been challenging in conventional HRTEM.63 Still, the data availability is limited due to the highly limited imaging conditions and grain orientation issues in the polycrystalline film.63
4. Concluding remarks
In summary, the recent advances in the experimental and theoretical works for the doped FE HfO2 materials (bulk, epitaxial and polycrystalline films) proved the robustness of ferroelectricity in these materials. The CMOS fabrication line-friendly material properties accelerate their active adoption in the semiconductor field at an unseen pace. It is exciting to expect the commercialization of mainstream semiconductor devices, not niche markets, using ferroelectric material after its finding ∼100 years ago.
C. Piezoelectricity
Alexei Gruverman and Jorge Íñiguez
1. Status
HfO2-based FEs are one of the most actively studied groups of materials due to their vast range of fundamentally captivating and technologically alluring properties, making them extremely appealing for the development of electronic devices based on switchable spontaneous polarization.21 Most of the studies of HfO2-based FEs have so far focused on the mechanism of the stabilization of their polar phase; their unusual scaling properties, which seemingly defy detrimental depolarizing effects; and the interplay between the intrinsic and extrinsic factors determining the static and dynamic polarization behavior. In comparison, relatively little attention has been given to hafnia’s piezoelectric properties. Although these materials exhibit weaker piezoelectricity in comparison with perovskite FEs—typical values for the measured piezo-coefficients are in the range of several pm/V—their response is comparable to that of AlN-based films, which makes them a viable alternative for application in electromechanical devices, such as sensors, resonators, and transducers. However, probably, the most intriguing aspect of the piezoelectric behavior of HfO2 is its high sensitivity to a variety of parameters, including film thickness, fabrication methods, doping, and electric field cycling, which opens a possibility of tuning the electromechanical functionality of these materials.70,71
First-principles calculations converge on the negative longitudinal piezoelectricity response of the o-phase of HfO2,39,72 whereby a compression along the polar axis results in an enhanced polarization. At the atomic level, this feature is attributed to the chemical coordination of the active oxygen atoms39 (Fig. 3): upon mechanical strain, the length of the Hf–O bond aligned with the polar direction is preserved via the shift of the oxygen ion. This shift results in a polarization increase/decrease upon compressive/tensile strain, yielding a negative longitudinal piezoresponse. In contrast, there are considerable disparities in the experimental reports on the magnitude and sign of piezoelectric coefficients of HfO2, which still has not received an adequate explanation. For example, piezoelectric strain measurements carried out in 20 nm-thick Si:HfO2 films reveal a relatively strong (17.8 pm/V) positive d33 coefficient, which decreases dramatically to negligible values upon ac cycling.73 On the other hand, piezoresponse force microscopy studies yield negative longitudinal d33 piezocoefficients in relatively thin La:HfO2 films (<30 nm), while in much thicker La:HfO2 films (>50 nm), piezoelectricity is found to be positive.74 Notably, thin and thick La:HfO2 films had different electrodes (TiN and Pt, respectively) and were fabricated by using different methods [ALD and chemical solution deposition (CSD), respectively].70 Moreover, the coexistence of regions with positive and negative d33 in the same La:HfO2 capacitors has been reported,70 which hints at the tuning of the d33 sign upon electrical cycling.
Left panel: Structure of the ferroelectric phase of bulk hafnia. The Hf–O bond distances involving the polar-active oxygen “OI(1)” are indicated. Center and right panels: Electronic charge density around oxygen OI(1), which controls the piezoresponse in both bulk HfO2 (center) and an epitaxially compressed film (right). If we stretch the material along the polarization direction (η > 0 along the vertical), the active oxygen moves (black arrow) to preserve the length of the chemical bonds most affected by the strain. Thus, the vertical Hf(1)–O bond dominates the response in the bulk compound; in the compressed film, this link is broken, and the bonds with Hf(2) and Hf(3) prevail (adapted from Ref. 39).
Left panel: Structure of the ferroelectric phase of bulk hafnia. The Hf–O bond distances involving the polar-active oxygen “OI(1)” are indicated. Center and right panels: Electronic charge density around oxygen OI(1), which controls the piezoresponse in both bulk HfO2 (center) and an epitaxially compressed film (right). If we stretch the material along the polarization direction (η > 0 along the vertical), the active oxygen moves (black arrow) to preserve the length of the chemical bonds most affected by the strain. Thus, the vertical Hf(1)–O bond dominates the response in the bulk compound; in the compressed film, this link is broken, and the bonds with Hf(2) and Hf(3) prevail (adapted from Ref. 39).
2. Current and future challenges
a. Experiment.
Experimental studies of piezoelectricity in HfO2 are based on the use of macroscopic testing techniques [double beam laser interferometer (DBLI), synchrotron XRD] and local probe methods piezoelectric force microscopy (PFM). While the macroscopic methods are more straightforward in that they directly measure the field-induced strain, they are not informative enough when it comes to understanding the tunability of the piezoelectric properties. In this regard, the PFM-based approach has an advantage as it allows for differentiation between the polar and non-polar phases by evaluating the local piezoresponse at the level of a single grain (several nanometers), as well as monitoring its evolution upon electrical cycling or annealing. On the other hand, interpretation of the PFM signal is not easy since it can be beset by various artifacts, such as electrostatic effects, electrochemical reactions, thermal effects, and complex cantilever dynamics.75,76 Even more challenging are the measurements in the resonance-enhanced mode developed to circumvent the issue of a low signal-to-noise ratio in materials with a weak piezoelectric response, where the cantilever is driven near its contact resonance. In this case, the PFM signal, which contains information about the d33 sign, strongly depends on the driving frequency relative to the resonance. Verification of a true sign of d33 requires reliable, artifact-free measurements of the PFM phase signal and careful selection of the measurement conditions70 and should be further corroborated by the local probe quasi-static strain measurements. To date, there are very few systematic studies of the effect of confinement, chemical doping, or electrical cycling on the sign of the piezoresponse in the hafnia ferroelectric family. As a result, there is still a lack of understanding of the mechanism for what seems to be a unique opportunity to tune piezoelectricity in these materials.
b. Theory.
As regards theory and simulation, HfO2-based FEs pose singular challenges. These compounds present many polymorphs that constitute robust (meta)stable phases separated by relatively high energy barriers. In such conditions, even the identification of a reference paraelectric structure becomes non-trivial, and so does the elucidation of polarization switching paths. The t-polymorph is usually taken as the centrosymmetric phase associated with the usual o-FE state, and based on this choice, ferroelectricity is interpreted as having an improper nature.45 However, if the t-polymorph were, indeed, the experimentally relevant high-symmetry phase, one would expect to find four different polarization domains in the samples, something that has not been observed. On a related note, it has been recently emphasized that in HfO2-like FEs, calculation of the spontaneous polarization itself is not trivial as it relies on an underlying assumption about the switching path between the positive and negative polarization states:40 the usual choice of path—through the t-centrosymmetric phase—ultimately leads to a negative longitudinal piezoresponse. However, there exists at least one competing switching path40,41 that involves a different polarization assignment (i.e., the state usually interpreted as having a positive polarization would now have a negative one) and leads to a positive longitudinal piezoresponse. In other words, although the atomistic mechanisms controlling the piezoresponse39 stay the same regardless of the switching path, the sign of d33 may vary. It remains to be seen which switching path is experimentally relevant or whether it may even be possible to deterministically control the path for intrinsic polarization switching. Other exotic theoretical predictions, such as the dependence of the d33 sign on mechanical constraints,39 seem to be more directly related to the experimental conditions and could be tested in the near future.
3. Advances in science and engineering to meet these challenges
Recent years witnessed significant progress in the enhancement of the piezoelectric properties of FEs via domain engineering, controlling structural instability, and redistribution of mobile ionic species.77,78 In HfO2-based FEs, structural transformations induced by electrical field cycling are strongly coupled to their electromechanical behavior. Sophisticated processing methods, structural phase engineering, stoichiometry control, and advanced techniques for macroscopic/nanoscopic electromechanical testing provide a foundation for designing HfO2-based materials with tunable piezoelectric properties, opening a possibility for their utilization in a variety of nanoelectromechanical devices. Fabrication of thick (hundreds of nanometers) HfO2 films by CSD79 is particularly beneficial for electromechanical applications as they provide a larger mechanical strain. Recent reports on the giant enhancement of piezoelectricity by field-induced rearrangement of oxygen vacancies in centrosymmetric materials77 and the favorable effect of oxygen deficiency on the ferroelectricity in HfO2 are indicative of the viability of this approach for better understanding and control of the tunable piezoelectric activity of HfO2. Availability of the macroscopic (DLBI) and nanoscopic techniques (PFM) sensitive to the electromechanical strain provides a set of necessary tools for testing piezoelectricity over a broad scale range and establishing a correlation between the global (device-level) and local piezoelectric behavior.
From a theoretical perspective, understanding piezoelectricity in HfO2 FEs requires first-principles modeling of all relevant polar and non-polar polymorphs—and the paths connecting them—as a function of composition. This kind of knowledge is particularly relevant for addressing the piezoelectric properties of HfO2 because of the basic problem of how to interpret the measured spontaneous polarization, which, in turn, is related to the uncertainty about the switching path. The effective-Hamiltonian and second-principles approaches that have been so successful to study FE perovskite oxides80,81 do not seem suitable here. Among many difficulties, let us mention the question of whether a suitable reference state can be defined or not,42 and the challenge of treating multiple switching paths—involving the formation and breaking of bonds—within such perturbative formalisms. Instead, other force fields82–84 and machined-learned models appear to be the methods of choice. Recent publication of machine-learned potentials for HfO2 is excellent news.55
4. Concluding remarks
Addressing the full complexity of the HfO2-based FE compounds associated with different phases, domain configurations, vacancies, grains, and interfaces will be a major test for the approaches mentioned above, both experimental and theoretical. In particular, oxygen vacancies are known to play an important role in the measured electric properties of these compounds, and the piezoresponse cannot be expected to be an exception. The predicted multiplicity of polarization switching paths, which implies an easy migration of oxygens from cell to cell, suggests a critical role of these extrinsic contributions and should become a major focus of attention. A combination of local probe and electron microscopy studies with structural characterization along with electrical and electromechanical testing is required to achieve a deeper understanding of the physical mechanism behind the observed high variability of the piezoresponse and to provide a physical foundation for tailoring the piezoelectric properties of the HfO2-based FEs. Bridging the gap between theory and experiment, particularly by addressing the extrinsic factors in the calculations, is very challenging, but its successful implementation will facilitate much faster progress. Ultimately, the difficulties that HfO2 FEs pose are also one of their main appeals: never had we seen a FE compound with so many possibilities for experimental control of the piezoelectric properties, from a mechanical reversal of the sign of the piezoresponse without even having to switch the polarization39 to tuning of the piezoelectric behavior by selecting the polarization switching path.40 This is a challenge worth taking.
5. Acknowledgments
J.Í. acknowledges the support from the Luxembourg National Research Fund through Grant No. INTER/NWO/20/15079143/TRICOLOR.
D. Pyroelectricity
Patrick D. Lomenzo
1. Status
Pyroelectric films are extensively used in infrared (IR) detectors for a wide range of applications, such as gas detection (CO, CO2, CH4, and C3H8), flame detectors, motion and gesture detection, and thermal imagers. Pyroelectrics also receive increasing interest for energy harvesting and electrocaloric cooling applications. Perovskite single-crystal LiTaO3 or PZT materials are frequently employed in infrared detectors due to their large pyroelectric coefficients (p). The pyroelectric coefficient is comparatively smaller in HfO2- and ZrO2-based pyroelectric films, yet the CMOS compatibility, environmentally sustainable chemistry, and the relative ease of fabrication are attractive for fluorite-based hafnia and zirconia IR detector development.
HfO2- and ZrO2-based FEs are pyroelectric active materials due to the existence of the polar Pca21 o-crystal structure.38 Since the FE dipole in this crystal phase is temperature dependent, a temperature change in FE HfO2 or ZrO2 can lead to the modulation of surface charge and voltage potential at the device terminals (primary pyroelectric effect). The generation of a pyroelectric voltage or current will only occur in these FEs after being polarized by the application of an electric field of sufficient strength or if the films are grown with a preferred out-of-plane dipole orientation.85,86
FE doped HfO2 and Hf1−xZrxO2 most frequently exhibit a polycrystalline structure, which leads to a pyroelectric coefficient that is proportional to Pr and, therefore, highly dependent on the electrical history of the device, such as wake-up cycling or poling field strength.86,87 Wake-up causes a simultaneous increase in Pr and p in doped-HfO2 and HZO FEs.85,87 A wide variety of dopants that induce ferroelectricity in HfO2, including La, Gd, Al, Si, and Sr, have exhibited comparable pyroelectric performance that generally depends on the product of εr and Pr.88,89 Pyroelectric coefficients with a magnitude between 20 and 90 µC m−2 K−1 in FE doped-HfO2 and HZO films are most commonly reported in planar devices.38,85–89 Larger pyroelectric coefficients exceeding −100 µC m−2 K−1 have been reported for Si-doped films near a morphotropic phase boundary.90,91 A plot of p vs Pr is shown in Fig. 4(a). The giant pyroelectric effect, which involves a much larger temperature range and irreversible phase transitions, has been indirectly calculated with a magnitude of up to −1300 µC m−2 K−1 in Si-doped HfO2.92 Voltage responsivity (FV) and current responsivity (FI) are common material figures of merit for pyroelectric detectors that are used to assess the maximum output voltage and output current, respectively. Figure 4(b) shows HfO2-based pyroelectric figures of merit for voltage and current sensitivity. These figures of merit are smaller compared to other pyroelectric materials, such as polyvinylidene difluoride (PVDF), LiTaO3, and lead zirconate titanate (PZT).93,94
(a) Pyroelectric coefficients (p) vs remanent polarization (Pr) for various types of FE HfO2-based thin films. (b) Voltage sensitivity vs current sensitivity figures of merit of HfO2-based pyroelectrics compared with other materials. The pyroelectric coefficient in HfO2 is typically proportional to the product of εrPr, though proximity to a phase transition may enhance p further.
(a) Pyroelectric coefficients (p) vs remanent polarization (Pr) for various types of FE HfO2-based thin films. (b) Voltage sensitivity vs current sensitivity figures of merit of HfO2-based pyroelectrics compared with other materials. The pyroelectric coefficient in HfO2 is typically proportional to the product of εrPr, though proximity to a phase transition may enhance p further.
2. Current and future challenges
Polycrystalline FE HfO2- and ZrO2-based films are frequently formed with coexisting crystal phases that can be influenced by the film deposition conditions, film thickness, annealing temperature, type of incorporated dopant, and dopant concentration.38,85–92,95 Nonpolar phases, such as the t-P42/nmc phase (k ∼ 40) and the P21/c m-phase (k ∼ 18), can coexist with the polar Pca21 o-phase (k ∼ 30) in polycrystalline FE films. The presence of m- and/or t-phases is generally undesirable for pyroelectric films because of the resulting lower Pr of the FE fluorite structured films, which can decrease the pyroelectric coefficient. However, the morphotropic phase boundary between the o-phase and t-phase enhances the pyroelectric coefficient, and the slight co-presence of the t-phase can, in this case, be beneficial, especially if wake-up cycling is performed to facilitate an irreversible tetragonal-to-orthorhombic phase transition.
The m-phase is particularly harmful for pyroelectric devices since its low relative permittivity can establish large depolarization fields and lower the effective permittivity of the FE film, adversely affecting the pyroelectric coefficient. The annealing temperature and doping concentration can impact the formation of the m-phase,85,88 but the m-phase problematically exhibits a strong film thickness dependence. The monoclinic phase has been widely observed to grow as the film thickness is increased beyond 15 nm in atomic layer deposited FE doped HfO2 and Hf0.5Zr0.5O2.86 Thicker pyroelectric films can improve the output voltage of pyroelectric sensors, which simplifies the sensing circuits. The growth of the monoclinic phase with increasing film thickness is problematic from this point of view. The increase in grain size and decrease in in-plane tensile stress with growing film thickness make the m-phase more energetically favorable than the o- and t-phases, thus creating challenging conditions to manufacture thicker FE HfO2-based films with best-in-class pyroelectric performance.
One method to inhibit the formation of the m-phase is to interrupt the crystalline structure of the FE films by incorporating a 0.5–1 nm amorphous oxide layer in films thicker than 10 nm. This technique was successfully demonstrated for Si-doped HfO2 with Al2O3 interlayers where a total film thickness of 50 nm was grown without the formation of the monoclinic phase, and a pyroelectric coefficient of 62 µC m−2 K−1 was reported.87 The interlayer approach to fabricate thicker pyroelectric films is not necessarily flawless, however, since the pyroelectric coefficient decreased from 84 to 62 µC m−2 K−1 when increasing the Al2O3 interlayer Si-doped HfO2 film thickness from 20 to 50 nm. New methods to further increase the pyroelectric coefficient, film thickness, and loss tangent would benefit pyroelectric applications, such as infrared sensors.
3. Advances in science and engineering to meet these challenges
Key advantages FE HfO2-based pyroelectrics have over other pyroelectric materials are its CMOS compatibility and the mature deposition capabilities available for thin films. Three-dimensional trench capacitors have been demonstrated with FE HfO2-based pyroelectric materials.96,97 These trench capacitors greatly increase the effective pyroelectric coefficient when compared to planar capacitors. Moreover, a CMOS-compatible integrated infrared sensor with doped HfO2 has been demonstrated comparing planar and trench capacitor pyroelectric performances.97 In that work, trench capacitors magnified the effective area and the responsivity by a factor of 15 compared to the planar pyroelectric HfO2-based infrared detectors. However, the authors observed that the trench capacitors increased the electrical capacitance and noise, preventing the sought-after improvement in the signal-to-noise ratio compared to the planar devices.97 Moreover, the geometry of trench capacitors might preclude some pyroelectric applications, such as thermal array imagers, since incident radiation may not be uniformly sensed from the environment compared to planar thermal pixels. Reducing the loss tangent and increasing the FE HfO2 film thickness without degrading the pyroelectric coefficient are required to improve infrared sensors developed from fluorite pyroelectrics.
Laminate structures, superlattices, refinements in processing, and doping strategies should facilitate incremental improvements in the pyroelectric performance of FE HfO2 thin films. In particular, optimization of the FE films for pyroelectric applications will require (i) minimization of the m-phase, (ii) high Pr, (iii) improvements in film deposition processing, and (iv) a suitable poling technique to achieve optimal pyroelectric performance. CSD is a good candidate to realize much thicker FE HfO2- and ZrO2-based films for improved infrared sensor characteristics. Pyroelectric measurements on epitaxial films could also give further material insights since polycrystalline effects can be excluded. Pre-poling or self-poled FE capacitors through the fabrication process would be preferable for production processes of infrared sensors.
Pyroelectric energy harvesting devices that take advantage of the giant pyroelectric effect on FE HfO2 and ZrO2 films have been predicted to be very promising based on indirect calculations,92 but direct measurements are needed to better assess the material performance for energy harvesters. Furthermore, pyroelectric-based energy harvesters with trench capacitor geometries could aid in improving the active pyroelectric volume that can scavenge thermal energy. Future investigations into HfO2- and ZrO2-based films that employ direct measurements of the giant pyroelectric effect near the orthorhombic-to-tetragonal phase transition at or above room temperature would help to shed light on how the proximity of the phase transition might advance energy harvester. The development of nanostructures and evaluating the role of substrate clamping effects would also aid in better assessing primary and secondary pyroelectric coefficients, the latter of which arises from the piezoelectric effect and thermal expansion.98
4. Concluding remarks
FE HfO2- and ZrO2-based films show very promising pyroelectric properties for infrared sensing, energy harvesting, and electrocaloric cooling applications. Although this fluorite structured material system lags behind in some infrared sensor performance metrics with best-in-class materials, such as LiTaO3 and PZT, research on HfO2- and ZrO2-based FE materials has only exceeded the one-decade mark at the time of writing. With further advances in processing and film development, it remains to be seen if FE HfO2 and ZrO2 materials can close the performance gap in infrared sensing devices compared to leading commercial materials.
Phase transitions or films near the morphological phase boundary could be further exploited in a wide variety of doped HfO2 and ZrO2 films to achieve enhanced pyroelectric and giant pyroelectric effects. Direct measurements of the giant pyroelectric effect through phase transitions will help to reveal this material system’s full potential for pyroelectric energy harvesting devices. In conclusion, there remain many opportunities to both discover and optimize more pyroelectric properties in these fluorite-structured ferroelectrics.
5. Acknowledgments
P.L. was funded by the German Research Foundation (DFG)—Project Nos. 430054035 and 433647091.
E. Negative capacitance
Michael Hoffmann
1. Status
Negative capacitance (NC) occurs when the charge on a capacitor changes oppositely to the voltage across it, which can be caused by various physical phenomena in different materials and structures.99 In 1976, Landauer first proposed that FEs should exhibit NC due to their polarization instability,100 but experimental evidence only started to appear some 30 years later.101 Importantly, there are two different types of NC, which must be distinguished: transient and stabilized NC. While transient NC can occur during hysteretic polarization switching in FEs, NC can be stabilized by an external positive capacitance to achieve hysteresis-free behavior. In 2008, Salahuddin and Datta proposed that stabilized NC could be used to amplify the voltage in nanoscale transistors, thus overcoming the fundamental limit of the subthreshold swing (SS) of 60 mV/decade at room temperature.102 However, the first experimental NC results were obtained in epitaxial perovskite FEs, which are not compatible with standard semiconductor manufacturing.103,104
From the integration point of view, fluorite-structure FEs based on HfO2 and ZrO2 are ideal for NC applications since they are scalable and can be easily integrated into advanced semiconductor devices.105,106 The first direct measurement of transient NC in fluorite-structure MFM capacitors was reported in 2016.107 Since then, stabilized NC has been demonstrated in pulsed electrical measurements of FE/dielectric and AFE/dielectric capacitors using relatively thick films (∼10 nm) and large voltages (>5 V).58,108,109 It has been proposed that NC in such heterostructure capacitors could enable higher energy storage density and efficiency in electrostatic supercapacitors.110 However, the origin of these experimental NC effects observed in fluorite-structure ferroelectric capacitors has been debated. For example, it has been argued that parasitic circuit components could result in similar transient NC behavior as observed in MFM capacitors.111 It was also suggested that the charge boost in FE/dielectric capacitors might be explained by ferroelectric imprint and reverse switching from a vortex-like domain structure.112
Furthermore, there have been many reports of transistors with fluorite-structure gate oxides, which exhibit below 60 mV/decade SS under certain measurement conditions.113 However, the vast majority of these claimed “NC transistors” seem to exhibit a transient NC effect with substantial hysteresis, which limits their potential for applications.105,114–116 Unambiguous reports of NC transistors with hysteresis-free sub-60 mV/decade SS are still elusive so far. Nevertheless, it has been shown that ultrathin (<3 nm) fluorite-structure gate oxides can lead to improved performance of scaled transistors compared to regular amorphous HfO2, even when the SS is still larger than 60 mV/decade at room temperature.106 Recently, it has been shown that 2 nm thick HfO2/ZrO2/HfO2 (HZH) superlattices with mixed FE/AFE order can reduce the equivalent oxide thickness (EOT) of advanced transistors down to 6.5 Å, lower than the physical thickness of the SiO2 interfacial layer.69 These promising results suggest that the HZH layer can be stabilized in an NC state, thus achieving lower overall EOT without degrading the transistor performance and reliability.
2. Current and future challenges
To enable NC transistors based on fluorite-structure (anti)ferroelectric oxides with even lower EOT and SS values, several critical challenges must be overcome. While some of these challenges are related to our limited understanding of NC and the basic material properties of fluorite-structure oxides, others stem from the need for a practical NC device design and FE material integration.105,117 While the microscopic origin of NC is relatively well understood in model systems, such as epitaxial perovskite FEs,103,104,118 it is less clear in fluorite-structure (anti)ferroelectrics so far.
For example, fluorite-structure (anti)ferroelectric thin films are typically polycrystalline with complex domain topologies as well as mixed FE and non-FE grains of various sizes and orientations,119 which makes the microscopic imaging of the domain and grain structure challenging. Charge trapping effects can play an important role due to the presence of defects at interfaces and grain boundaries.105 This complicates the development of more accurate NC models for fluorite-structure oxides, which need to take at least the domain and grain structure into account.120,121 From a theoretical point of view, the basic anisotropy and domain coupling constants, as well as the domain wall mobilities, are still not well established. So far, these critical values for NC device simulation are typically fitted to experimental data. Without realistic estimates for these values, it is challenging to understand the microscopic origin of NC in fluorite-structure oxides121,122 and to assess the theoretical limits of NC devices based on these materials.
For the development of nanoscale NC transistors, the gate oxide should ideally be as thin as 2 nm. While fluorite-structure (anti)ferroelectrics in this thickness range tend to become more textured when grown on silicon by ALD, they still show substantial spatial inhomogeneity, in part, due to their t-/o-phase mixture.19,69,123 This presents a challenge for ultimately scaled NC transistors, which might exhibit larger device-to-device variability due to the polycrystalline nature of the gate oxide, which in conventional devices is amorphous. While there are currently no experimental data to support this, variability might also be exacerbated for scaled 3D NC transistors, such as FinFETs or nanosheet FETs. One major challenge in achieving hysteresis-free sub-60 mV/decade SS in NC transistors seems to be the large change in the quantum capacitance of the semiconductor channel with gate voltage.124 Furthermore, the need for a thin SiO2 interfacial layer in silicon-based devices might “absorb” most of the NC benefit, leading to an overall positive capacitance of the gate stack and, thus, SS larger than 60 mV/decade.69
3. Advances in science and engineering to meet these challenges
In recent years, substantial progress has been made on both the demonstration and understanding of NC effects in fluorite-structure (anti)ferroelectrics.125 On the theory side, multi-domain and even multi-grain numerical models have been developed, which are able to reproduce experimental NC data.120–122 Furthermore, first-principles calculations have revealed some of the unique domain wall properties of fluorite-structure ferroelectrics,49,50,126 which are crucial to better understand the microscopic origin of NC. In particular, the importance of topological domain walls50 might explain the qualitative differences of NC behavior observed in thicker (anti)ferroelectric/dielectric capacitor structures58,108,109 compared to ultrathin mixed-phase films.69 Uncovering the relationship between topological domain wall dynamics and NC in fluorite-structure oxides is a promising topic for future research. High-resolution transmission electron microscopy (HRTEM) techniques could help to directly image such domain wall structures127 in devices with macroscopic NC behavior. In principle, HRTEM combined with in situ voltage biasing could directly reveal such domain wall movement.128
A significant recent advance in experimental NC devices was the demonstration of stable NC in ultrathin HZH gate oxides, resulting in a low EOT without interfacial layer scavenging.69 After demonstrations on 90 nm gate length n-type transistors, further experiments with even shorter channels and p-type devices will be important for CMOS applications. Variability and reliability of ultimately scaled NC transistors should be investigated. As mentioned before, more theoretical insights into how to tune NC in these mixed-phase ultrathin films will be helpful to reduce the EOT further by optimizing the FE and interfacial SiO2 layers.129 Furthermore, it seems promising to investigate other channel materials besides silicon, where SiO2 interfacial layers might not be necessary or which can be operated in the quantum capacitance limit.124 Future research should also investigate 2D and 3D electrostatic effects due to the multi-domain nature of the FE layer and how these influence the quantum confinement in the semiconductor channel.
Beyond nanoscale transistors, other promising applications for NC include energy storage110 as well as actuators and sensors.130 From a more basic research point of view, experiments on NC could also give new insights into the fundamental switching mechanism of (anti)ferroelectric fluorite-structure oxides,109,122 which is still not fully understood.50,51,131 Additionally, combining NC with other solid-state physics phenomena, such as high-temperature superconductivity,132 could enable entirely new applications for fluorite-structure FEs.
4. Concluding remarks
NC in fluorite-structure (anti)ferroelectric oxides is a promising phenomenon, especially for applications in nanoscale transistors as well as fundamental physical material investigations. NC experiments could give new insights into the FE-switching behavior of fluorite-structure FEs. Recent progress in the experimental demonstration of stabilized NC in ultrathin fluorite-structure oxides is encouraging for future transistor applications. However, more microscopic insights, from both theory and experiment, are needed to fully understand the physical origin of NC in fluorite-structure oxides and to optimize the NC device design. In particular, the role of topological domain walls and the mixed-phase microstructure needs further investigation. For nanoscale transistor applications, further reduction of the EOT and SS is needed through material and device optimization with the help of multi-domain modeling. Beyond transistors, NC seems promising, e.g., for energy storage applications. Finally, investigating NC in combination with other solid-state phenomena, such as quantum confinement or superconductivity, could enable entirely new applications.
F. Domain walls
Duk-Hyun Choe and Jinseong Heo
1. Status
Although infinitely large FE crystals prefer a single-domain state, in principle, real FE crystals are usually divided into multiple domains of different polarities. Such domains can occur spontaneously (for example, owing to defects and/or finite-size effects), and they can also be formed and engineered by the application of external fields. The boundaries separating these domains are called FE DWs. FE DWs not only play a central role in polarization switching, but they can lead to many emergent phenomena, including charged DW, DW conduction, and exotic topological textures (vortices, skyrmions, and merons).11,133 Therefore, understanding their structure, topology, and motion is of both fundamental and technological importance. In HfO2-based FEs, work on intrinsic properties of DWs and the mechanism of their dynamics is still in its infancy. This section briefly reviews the field of DW physics in HfO2-based FEs and provides an outlook based on the current and future challenges.
2. Current and future challenges
A number of interesting DWs can exist in HfO2-based FEs. Several types of DW structures in the common o-(Pca21) phase of FE HfO2 were identified using scanning transmission electron microcopy (STEM) analysis. Grimley et al. reported the first reliable observation of 90° DWs in ALD-grown Gd:HfO2.119 Kiguchi et al. introduced and identified several types of atomically sharp 180° and 90° DWs as well as tilted DWs in epitaxial Y:HfO2.62 Both studies, however, could not identify the exact sign of the domain polarizations. Using atomic-resolution STEM, Cheng et al. were able to distinguish the direction of the polarization in a mixture of Pca21 and Pbca phases of Zr:HfO2,63 in which their phase boundary bears structural resemblance to the 180° DWs. Interestingly, a recent study by Zhou et al. identified a charged 90° DW having a tail-to-tail domain structure in Zr:HfO2,134 which could affect the wake-up behavior in the polarization hysteresis depending on the orientation of the DW. The first systematical categorization of DWs in HfO2 was done by Ding et al. based on first-principles calculations.49 They established ten basic types of 180° and 90° DWs by considering the orientation and lattice vectors of the unit cell of the Pca21 phase of HfO2. It should be noted that the DW energy of the most stable 180° DW is calculated to be negative,23,49 suggesting a possible preference of anti-polar domains even in an infinitely large, pristine HfO2 crystal. Moreover, the domains separated by such DWs have shown to persist its polarization at the ultimate scale, i.e., a half-unit cell width (∼2.5 Å).23,49 Lee et al. attributed these striking differences from traditional perovskite FEs to the intrinsic flat phonon bands in HfO2.23 On the other hand, Choe et al. revealed a class of topological DWs in HfO2 that is characterized by the relative quasi-chirality and the parity of the number of half-unit cells between the neighboring domains.50 The term topological is used due to the requirement of global structural changes for transitions between different topological classes of DWs, while transitions within the same class only require local structural changes near the DW. This has opened up new possibilities in the search of DWs not only in the Pca21 phase but also in inter-phase boundaries between t-(P42/nmc), o-(Pca21), and m-(P21/c) phases, which are often present in experiments.62,119 Zhao et al. further expanded the family of the topological DWs into 93 irreducible configurations based on the lattice mode analysis.126
3. Domain wall dynamics
Theoretical studies suggest that DW dynamics and the polarization switching mechanism in HfO2-based FEs can be markedly different from those in perovskite FEs. In particular, the energy barrier for the DW motion in HfO2 via the most stable 180° DW is calculated to be more than an order of magnitude higher than its perovskite counterparts.23,49 Lee et al. pointed out that the polar domains in HfO2 can be independently switchable (or nucleated) down to the ultimate limit,23 with a high energy barrier that is similar to that of the DW motion [Fig. 5(a)]. While this suggests a potential use of HfO2 for a novel ultra-dense memory,23 this also implies that the intrinsic polarization switching of HfO2 films as a whole can be extremely inefficient. PFM measurements support this model, which showed about 100 times lower DW velocity compared to PZT.135 According to this model, however, DW motion becomes practically impossible in HfO2 FEs. The absence of DW motion within a grain would translate to an ultra-slow switching speed even for NLS mechanism, which would impose limitations on the applicability of HfO2 in the emerging FE devices, including FeFETs and negative capacitance field-effect transistors (NCFETs). Meanwhile, Choe et al. pointed out that the high switching barrier in the proposed model is attributed to the structural change that reverses the quasi-chirality of the domains.50 To find a low-barrier switching mechanism, they devised a tetragonal phase-like DW composed of 2-half-unit cells [two consecutive ivory-colored boxes in Fig. 5(b)], which leads to the same quasi-chiral symmetry within the neighboring domains. Such DW could significantly reduce the switching barrier, allowing for a rapid DW propagation in HfO2. Moreover, as illustrated in Fig. 5(b), it can be created by a new type of domain nucleation model involving 3-half-unit cells,50 which is calculated to be energetically preferred over the seemingly plausible half-unit cell nucleation. Together, these findings demonstrate that the fast nucleation and growth within a grain can be feasible through topological DWs in HfO2, as has been hinted by indirect evidence in experiments.51,136–140 Lattice mode analysis by Qi and Zhao has revealed several more chirality-preserving switching mechanisms via the topological DWs.46,126
Relative energies of the two different ferroelectric switching scenarios: (a) non-expanding domains (independent switching) and (b) expanding domains. Schematics of the two switching scenarios are shown. Figures adapted from Refs. 23 and 50.
It is worth mentioning that an alternative FE switching mechanism, based on the intermediate o-(Pbcm) phase, also exists.50,141 In addition to a 35% increase in remanent polarization, an intriguing feature of this mechanism is its counterintuitive labeling of the polarization orientation,50 precisely opposite to the scenarios in Fig. 5, arising from the opposite O atom movement during FE switching. Much room remains for further studies regarding its role in the different signs of the piezoelectric coefficient,40,70 O migration,50,142,143 and DW growth.144,145
4. Advances in science and engineering to meet these challenges
The key challenges in DW physics of HfO2 can be divided into theoretical and experimental challenges. Until now, theoretical investigations are limited to neutral DWs having 180° and 90° DW angles. Considering the existence of complicated DWs in FE HfO2, including tilted DWs62 and charged DWs134 in experiments, the family of topological DWs in HfO2 is expected to be much richer than what is currently known. In addition, due to the high computational cost, previous first-principles calculations are mostly relying on small systems no larger than eight unit cells (∼1 nm3). While such calculations can provide fundamental insights into DW physics, they cannot capture the realistic DW dynamics that involve collective and complex processes. A critical shortcoming, for example, is that the currently estimated Ec from first principles is generally an order of magnitude higher than the experimental values.52,141 There is no reliable first-principles estimate for the DW velocity in HfO2 as well. The Ec and DW velocity of HfO2 should be quantitatively understood using large enough supercells (>64 nm3) as they are among the most important features affecting the performance of FE devices. In addition, understanding the effect of surfaces,146 interfaces,147 and defects148 on the DW stability and dynamics is required. Utilization of large-scale density functional theory (DFT),149,150 machine-learned force field (MLFF),55,151 and effective lattice Hamiltonian approach80 in FE HfO2 is desired to gain deeper first-principles insights into the DW dynamics and Ec. This will also help set up macroscopic models for device-scale simulations, such as phase-field simulations120,152 and technology computer-aided design (TCAD), which require many fitting parameters that are generally not accessible to experiments.
Experimental access to the DW structure and their dynamics at the atomic level is difficult. In HfO2-based FEs, their highly polycrystalline nature153 with the <20 nm crystallite size and the mixed competing phases24,26 add additional layers of complexity.123 One of the most important requirements for accurate identification of DWs is the reliable imaging of oxygen atoms in doped HfO2 along specific crystallographic orientations.50,63,134 Given a range of theoretically discovered DWs,49,50,126 and with only a handful of observations,62,63,119,134 a further experimental study with advanced microscopy is needed. Unfortunately, direct observation of DW dynamics in FE HfO2 poses even greater challenges. For example, piezoelectric force microscopy (PFM), the most widely used scanning probe microscopy (SPM) for dynamical studies, cannot provide real-time information on the DW motion at the atomic resolution.154 Alternatively, in situ TEM typically requires special processing techniques, and it often does not represent the actual operating environment. Advances in the atomic-scale in situ/operando electron microscopy,142,155 together with in situ SPM,156 could enable the experimental confirmation of the unique functional properties of DWs in HfO2 and further inspire the exploration of new DW physics.
5. Concluding remarks
The discovery of ferroelectricity in HfO2 has not only triggered a resurgence of interest in FE devices, but it is stimulating the quest for a deeper fundamental understanding of their unconventional FE behavior. We are beginning to recognize the diversity and functionality of DWs in the Pca21 phase of HfO2. We anticipate that new types of functional DWs and/or intriguing topological morphologies will be experimentally revealed in the near future. Other competing FE phases, including recently suggested o-(Pnm21)26 and rhombohedral (R3 or R3m)27 phases, may also possess distinct types of DWs with various DW angles, where their DW structures remain largely unexplored. The fundamental insights obtained into the nature of DWs and their dynamics will offer a more rational design and engineering of emerging FE devices, further accelerating their development.
IV. BULK GROWTH
Xianghan Xu, Fei-Ting Huang, and Sang-Wook Cheong
A. Status
The challenge of quantum materials innovation comes with fabricating stable micro-devices with physics dominated by quantum mechanism, which has led to world-wide research efforts on functional properties of quantum materials. Likely, film forms will be used for those quantum material devices, but it is necessary to have bulk crystals to study intrinsic physical properties. In addition, there can also be applications using bulk crystals; for example, FE bulk crystals of BaTiO3, LiNbO3, and PMN-PT have been used for rectifiers, oscillators, and piezoelectric actuators. For the new generation of quantum materials, investigating a workable bulk crystal growth technique is a fundamental step to push them from laboratories into the realm of massive industrial production and applications, and HfO2 growth is one good example.
Being studied as a high-κ material that shows good compatibility with the Si-based micro-devices, the investigation of HfO2 bulk single-crystal growth has never stopped. In 1966, Chase and Osmer reported the growth of monoclinic HfO2 single crystals with typical size 2 × 2 × 1 mm3 from a PbF2 flux.157 In 1970, Ruh and Corfield reported monoclinic HfO2 crystals grown from a Li2O–MoO3 molten flux and hydrothermally from an NH4F solution, and needle-like or plate-like crystals were obtained.158 In 2016, Lozanov et al. reported the growth of monoclinic HfO2 single crystals using a reactive chemical vapor deposition with CF4 as a transport agent.159 The crystal plates show a typical size of around 2 cm. In addition, people also made attempt to get HfO2 crystals in different room temperature phases by introducing dopants. Kadlec and Simon reported the growth of yttrium-stabilized-hafnia (YSH) single crystals in the cubic phase from melting with 20 mm dimension in diameter.160 Mann and Kolis reported a hydrothermal growth method of yttrium, neodymium, holmium, and erbium stabilized cubic hafnia single crystals having a maximum size of 0.25 mm.161 Kurosawa et al. reported the skull melting growth of 20 mm size 17% Tb-doped cubic HfO2 crystals.162 Yu et al. reported the growth of cubic Hf0.86Y0.13Eu0.01O1.93 single crystals with an optical floating zone equipped with high-power xenon lamps.163 Kim et al. reported the growth of lutetium stabilized cubic HfO2 single crystals with a typical dimension of 5 mm by using a metal-assisted indirect arc heating method.164
B. Current and future challenges
The polymorphic nature is the major difficulty in the growth of bulk HfO2 in a certain desired phase. Typically, all the bulk single-crystal growth techniques involve an equilibrium crystallization of the thermodynamically most stable phase at the growth temperature and chemical environment, which does not encourage the growth of kinetically stabilized o-HfO2 bulk crystals. However, in HfO2 films, the relative energy of polymorphs and transformation kinetics between them have been found strongly depending on factors such as doping,60,165–168 oxygen vacancies,36,169,170 stress/strain,171 cooling rate,172,173 and surface energy.30 Therefore, the synergetic interplay of some of those factors seems the right approach to search for kinetically stabilized phases in HfO2 bulk materials.
For undoped HfO2, the structure undergoes the monoclinic (m, P21/c), tetragonal (t, P42-/nmc), and cubic (c-Fm-3m) phases with elevated temperature. Attempting to quench undoped HfO2 bulk crystals from the high temperature cubic phase results in the monoclinic phase at room temperature, which means that the energy of kinetically stabilized phases remains high in undoped HfO2. Inspired by the fact that introducing dopants such as Zr4+, Si4+, Al3+, La3+, Gd3+, and Y3+ can facilitate the formation of kinetically stabilized phases in HfO2 thin films, Y3+ seems the most desirable dopant into bulk HfO2 to achieve the kinetically stabilized phases for the following reasons. First, Y3+ has a relatively closer ionic radius to Hf4+ than La3+ and Gd3+, which guarantees good solubility. Second, Y3+ incorporation brings great structure tunability into bulk HfO2. According to the equilibrium phase diagram of Y2O3–HfO2 solid solution, introducing a 20% Y atom can fully turn the room temperature phase into a fluorite-type cubic phase. In the contrary, though Zr4+ also has good solubility in HfO2, the room temperature phase of bulk (Hf, Zr)O2 is always monoclinic, independent of concentrations. Moreover, the heterovalence doping of Y3+ spontaneously introduces oxygen vacancies, which may favor the kinetically stabilized o-phase over the m-phase, which has more oxygen coordination of Hf atoms.
Since the cubic phase has the most yttrium solubility and appears at an extreme high temperature just below the melting point, to ensure the uniform yttrium distribution, a crystallization directly from HfO2:Y molten liquid would be ideal. The refractory nature of bulk HfO2 makes its melting point extremely high (almost 3000 °C). Even an iridium crucible that is commonly used in the Czochralski method cannot survive at such a high temperature. Nevertheless, a floating zone technique has the merit of being crucible-free that can overcome this limitation. The maximum output temperature of a floating zone furnace strongly depends on the heating elements and optics. The conventional floating zone furnace typically adopts a “halogen lamps + concave mirrors” setup, and the maximum temperature of around 2200 °C is insufficient to melt bulk HfO2. Remarkably, the laser floating zone model commercialized in 2011 adopts focused high-power diode-based laser beams as heating elements, which enhances the maximum heating temperature.174 Moreover, the well-focused laser beams create a large temperature gradient of around 150 °C/mm at the solidification interface, which is ∼5 times larger than that of a conventional floating zone furnace. For the growth of bulk HfO2 in kinetically stabilized phases, this sharp temperature gradient facilitates rapid cooling after crystallization, which prevents undesirable yttrium diffusion and phase separation.
C. Advances in science and engineering to meet these challenges
In 2021, Xu et al. reported the growth of HfO2:Y bulk crystals with various Y dopant concentrations by using a laser floating zone method.32 The XRD pattern of ground crystals indicates the existence of kinetically stabilized o-phases, which are not found in the equilibrium Y2O3–HfO2 phase diagram. A clear hysteresis P–E loop obtained on polished 12% HfO2:Y crystals confirms that the bulk ferroelectricity and electron diffraction study unveils the non-centrosymmetric Pbc21 (o-FE) space group as the origin of FE polarization in 12% HfO2:Y single crystals. The existence of a Pbca (o-AP) o-phase with an antipolar structure is also evidenced in 8%–11% HfO2:Y crystals. Interestingly, FE and antipolar o-phases only exist in as-grown crystals, which endure a relatively rapid cooling from the laser floating zone growth. Both o-structures remain stable at room temperature and can be transformed into a mixture of thermodynamically stabilized cubic and monoclinic phases after being annealed at 1600 °C for days, as displayed in Figs. 6(a) and 6(b). The DFT calculation result implies that, instead of the lowest thermodynamic energy, the smallest energy barrier of the transition from cubic into o-FE structure plays a critical role in the stabilization of the ferroelectric o-phase, which explains why the formation of o-phases is preferred by rapid cooling.
The phase diagram of HfO2:Y bulk single crystals with different Y concentrations and thermal histories identifies four main phases, including the room temperature monoclinic (m) P21/c phase, the high-temperature cubic (c) Fm-3m phases, and the antipolar orthorhombic Pbca (o-AP) and the ferroelectric orthorhombic Pbc21 (o-FE) phases. The insets show the corresponding transmission polarized-light microscope images taken on polished cross-sectional disks of the crystal rods. (a) The as-grown (rapidly cooled) single crystals, and (b) the 1600 °C annealed single crystals. As-grown 12% HfO2:Y belongs to the pure o-FE phase. The color contrasts, and fine features correspond to distinct crisscrosses of tweed domains at the outer part and a single orthorhombic domain at the center of the crystal boule. As-grown 8% HfO2:Y consists of the majority o-AP phase, showing large 120°-type twin features due to the presence of different choices of cell-doubling. The mixed phases contribute to the complex color contrasts and local features in crystals after annealing.
The phase diagram of HfO2:Y bulk single crystals with different Y concentrations and thermal histories identifies four main phases, including the room temperature monoclinic (m) P21/c phase, the high-temperature cubic (c) Fm-3m phases, and the antipolar orthorhombic Pbca (o-AP) and the ferroelectric orthorhombic Pbc21 (o-FE) phases. The insets show the corresponding transmission polarized-light microscope images taken on polished cross-sectional disks of the crystal rods. (a) The as-grown (rapidly cooled) single crystals, and (b) the 1600 °C annealed single crystals. As-grown 12% HfO2:Y belongs to the pure o-FE phase. The color contrasts, and fine features correspond to distinct crisscrosses of tweed domains at the outer part and a single orthorhombic domain at the center of the crystal boule. As-grown 8% HfO2:Y consists of the majority o-AP phase, showing large 120°-type twin features due to the presence of different choices of cell-doubling. The mixed phases contribute to the complex color contrasts and local features in crystals after annealing.
Since the bulk single crystal gets rid of the influence of substrate materials, it provides great opportunities for investigating the intrinsic optical properties. In 2022, Fan et al. performed the Raman and infrared spectra on the HfO2:Y bulk single crystals in cubic and polar o-, antipolar o-, and m-phases.61 The experimental data are highly consistent with the theoretical calculations, which introduces the phonon mode analysis into the HfO2-based system. Moreover, some of HfO2 polymorphs show significant structure similarity, adding difficulty in distinguishing them by conventional diffraction methods, but this work proves that the phonon spectra could work as a supplementary method in characterizing the polymorphs in HfO2-based materials by establishing a spectroscopic fingerprint for several different phases of HfO2.
D. Concluding remarks
The successful growth of HfO2:Y single crystals in kinetically stabilized o-phases also opens up various new experimental directions in the understanding of exotic ferroelectricity in this material. In 2020, Lee et al. proposed a localized polarization model in ferroelectric HfO2 based on their calculations.23 Therefore, experimental investigation of the possible flat phonon band is highly desired. The ferroelectric HfO2:Y single crystals with a typical mass around several grams make the inelastic neutron scattering study of the phonon dispersion achievable. Considering the reported ferroelectric Y:HfO2 bulk crystal and thickness-independent ferroelectricity in Y:HfO2 films,175 a natural question would be why yttrium? Compared to other popular dopants in ferroelectric HfO2 films, such as Si4+ and Al3+, the radius of Y3+ is much closer to Hf4+, which ensures good solubility in the bulk limit. That could be the reason why Y-doped ferroelectric HfO2 samples tend to show minimized size dependence. On the other hand, this radius similarity may also bring a side effect, which is a relatively smaller orthorhombic distortion magnitude in Y:HfO2. Consequentially, the reported ferroelectric polarization of bulk Y:HfO2 and 1 μm-thick Y:HfO2 films is 6 and 15 µC/cm2, respectively, which is smaller than the reported value in ferroelectric HfO2 films with rare earth dopants having a larger radius, for example, 40 µC/cm2 in La:HfO2.176 In the future investigation of size-independent ferroelectricity in HfO2-based materials, refinements of growth and annealing techniques and parameters to achieve a higher polarization in Y:HfO2 are desired. Moreover, based on the discussion above, rare earth ions with a similar radius with Hf4+, such as Ho3+–Lu3+, are also promising dopants in the stabilization of size-independence ferroelectricity in HfO2-based materials.
Schematic image of chemical solution deposition. Process flows with non-capped (i.e., metallization after crystallization) and capped (i.e., metallization before crystallization) manners are shown, respectively.
Schematic image of chemical solution deposition. Process flows with non-capped (i.e., metallization after crystallization) and capped (i.e., metallization before crystallization) manners are shown, respectively.
Last but not least, Yttrium-stabilized Zirconia (YSZ) is known to be a famous ionic conductor material due to the excellent yttrium mobility at high temperature, and it has been widely used as thermal barrier coating layer materials for gas turbine engines and the lining materials for high-temperature furnaces.177 A similar study of the ionic conducting performance of bulk Yttrium-stabilized Hafnia (YSH) in the high-temperature regime may extend the industrial application of HfO2-based materials into new realms.
E. Acknowledgments
This work was supported by the center for Quantum Materials Synthesis (cQMS), funded by the Gordon and Betty Moore Foundation’s EPiQS initiative through Grant No. GBMF10104, and by Rutgers University.
V. THIN FILM GROWTH
A. Metal-organic chemical vapor deposition
Takahisa Shiraishi
1. Status
The crystalline phase-controlled HfO2- and ZrO2-based films are known to exhibit excellent ferroelectricity/antiferroelectricity even at a nanometer scale thickness and have been actively studied as potential candidates for applications to next-generation FE/AFE devices, such as FeRAMs, FeFETs, ferroelectric tunnel junctions (FTJs), and supercapacitors. Many research studies revealed that the origin of FE and AFE behaviors is several metastable phases with o-, t-, or r-symmetries. In addition, it was demonstrated that the stability of this crystalline phase strongly depends on the chemical compositions (doping concentration, ionic radius, valence state, and oxygen vacancy) and the structural characterizations (thickness, grain size, thermal and epitaxial strains, film/substrate interface, crystallographic orientation, and layered structure) of the films. Research has also been done to address several challenges in electrical properties, such as high Ec, low fatigue cycles, and weak Pr. Most efforts have been pursued on the materials side. On the other hand, given the continued miniaturization of FE/AFE devices and the current trend toward nanoscale electronics, it is necessary to develop deposition techniques that can adequately control the above factors affecting phase stability in the nanoscale film thickness range.
Metal-organic chemical vapor deposition (MOCVD) is still used today to produce high-k dielectric ultrathin films based on HfO2 and ZrO2. This is due to the good controllability of film composition and film thickness. In addition, MOCVD is suitable for uniform deposition not only on large-area Si wafer but also on substrates with three-dimensional shapes, such as deep trench. This feature is very advantageous from the viewpoint of manufacturing next-generation FE/AFE devices. MOCVD is one of the effective approaches for designing HfO2- and ZrO2-based FEs/AFEs in the ultrathin region,178,179 but the understanding of the crystal growth science for realizing these films in MOCVD is still an open question. In addition, the deposition process must be thoroughly studied to exploit the advantage of MOCVD. This section focuses on techniques for controlling the structural properties of HfO2- and ZrO2-based FEs/AFEs via MOCVD, and some related research challenges are discussed below.
2. Current and future challenges
a. Epitaxial growth.
Since the polarization axis differs for each metastable phase, it is critically important to control the crystallographic orientation of the HfO2- and ZrO2-based films in order to fully exhibit ferroelectricity and antiferroelectricity. In particular, an epitaxial growth technique, so-called metal-organic vapor phase epitaxy (MOVPE), is preferred for developing a fundamental understanding of growth mechanism, phase stability, doping and strain effects, domain structure and its switching behavior, electrical properties, etc. However, a comprehensive understanding of the growth phase diagram and the process window for each metastable phase is lacking. In addition, the effects of organometallic precursors and deposition conditions on the crystal growth of HfO2- and ZrO2-based FEs/AFEs remain to be studied in detail. Moreover, lowering the thermal budget required for film formation is also an important challenge, enabling the reduction of thermal damage during device manufacturing. Therefore, an understanding of the epitaxial growth process is required at the fundamental level throughout detailed studies of precursor adsorption, diffusion on the underlying electrode layer, nucleation, and growth rate as a function of deposition conditions via theoretical and experimental approaches focusing on the metastable phase. Another key to achieving orientation control is the selection of an underlying electrode layer, which promotes the oriented growth of the metastable phase. For example, indium tin oxide and lanthanum strontium manganite have been paid attention as epitaxial electrode layers to support the formation of orthorhombic and rhombohedral phases, respectively. The deposition of these epilayers by MOVPE is an interesting topic for the simplification of manufacturing processes of FE/AFE capacitors.
b. Pulsed-MOCVD.
Layered structures (e.g., bilayer, multilayer, and superlattice) composed of HfO2- and ZrO2-based nanolayers are one of the unique approaches to improve dielectric, FE, and AFE properties, which can significantly enhance various device performances, such as endurance, fatigue recovery ability, and storage capacity. It is also possible to design the functions by combining multiple electrical characteristics. In the case of MOCVD, the layered structures can be achieved by introducing a pulsed deposition system. This system allows for alternate delivery of each precursor onto the substrate, and the cycle is repeated to form layered structures. At that time, it is necessary to precisely control the composition of each layer. Until now, HfO2/ZrO2, HfO2-based/ZrO2-based, and FE-(Hf, Zr)O2/AFE-(Hf, Zr)O2 have been studied. The next challenge of pulsed-MOCVD is multi-componentization, such as HfO2/ZrO2/CeO2. The interesting topic is the control of constituent phases and electrical properties by utilizing the strain induced at the interface between layers. It is known that the piezoelectric response of superlattice structure is larger than that of the film form. Since there has not been much research aimed at piezoelectric applications of HfO2- and ZrO2-based ferroelectrics, the development of layered structures opens up new possibilities for these materials. However, an understanding of the synergistic effect of each layer on phase stability and electrical properties is not fully available. In addition, this effect is highly dependent on the layer thickness, which is controlled by the supply time of each precursor. Tuning of the layered structure as a function of pulsed deposition system parameters is, therefore, essential for elucidating synergistic effects through microstructural analyses focused on interface conditions, polarization states, and defects.
c. High step coverage.
Three-dimensional capacitors based on deep trench structures are widely used in ferroelectric memory devices. Adaptation of HfO2-based FE ultrathin films to this capacitor could make a breakthrough in the miniaturization of trench patterns and high aspect ratio. Polakowski et al. reported a Pr value per projected area of 152 µC/cm2 in TiN/Al:HfO2/TiN trench-type capacitors.180 Recently, FinFET with HfO2-based FEs has also been paid attention to as a three-dimensional capacitor. In the case of the fin field-effect transistor (FinFET), it is required to uniformly cover the elongated fin patterns with an ultrathin film. So far, the three-dimensional capacitors with HfO2-based FEs have been achieved only by ALD. Although MOCVD has great potential as a manufacturing technique for such capacitors, several challenges remain to be addressed. One is the formation of metastable phases on the substrate with three-dimensional shape. This challenge requires an exploration of chemical composition to intrinsically improve the stability of the metastable phases. Another is the realization of high step coverage of the HfO2-based ultrathin films and top/bottom electrodes. Precise control of the deposition conditions (e.g., the supply rate of sources, deposition temperature, and pulse sequence) is required to uniformly cover the trenches and fins. Understanding the convection of source gas within the chamber via fluid analysis helps establish the process window. The future challenge is the deposition on the metal substrate with various shapes. Since metal substrates are excellent in workability, they are easy to apply to FE devices with a three-dimensional structure. It is also expected to develop into devices that take advantage of the flexibility of metal substrates.
3. Concluding remarks
MOCVD is an important deposition technique impacting the field of ever-developing HfO2- and ZrO2-based FEs/AFEs. This is because it yields unique results, such as excellent controllability of film thickness and chemical composition, design of the layered structure and electrical properties, and high step coverage. In particular, MOVPE helps us to better understand the effects of doping, film thickness, strain, and crystallographic orientation on phase stability, enabling full exploitation of ferroelectric/antiferroelectric properties. However, the current situation is that the use in this material field has not progressed yet. With a detailed understanding of the fundamental factors, such as deposition mechanism, growth phase diagram, process window, and pulsed system effect, MOCVD will give new avenues for next-generation FE/AFE devices equipped with HfO2- and ZrO2-based ultrathin films.
B. Chemical solution deposition
Hiroshi Uchida
1. Status
Solution-based techniques have been used for several years for manufacturing FE thin films because of their technical advantages in designing simple and versatile film-deposition processes. The term “chemical solution deposition (CSD)” includes some different types of solution-based processes for thin film deposition, such as sol-gel utilizing hydrolysis and polycondensation of metal alkoxides, metal-organic decomposition (MOD) using resources of organic-acid salts, and other solution-based processes using various chemicals (β-diketonates, carbonylates, inorganic salts, etc.) as starting materials. These processes generally involve several simple steps, i.e., coating the precursor solution on a substrate, drying or pyrolyzing the solution to form a precursor gel film (amorphous), and annealing the gel film for crystallization. We argue that the process flow of CSD (Fig. 7) is compatible with chemical engineering and, thus, favorable for industrial mass production, such as a large-area film deposition on flat panel substrates.
The CSD process for FE thin films has been used for almost 40 years, mainly for perovskite-type ferroelectric materials, such as Pb(Zr, Ti)O3,181,182 BiFeO3,183,184 and layered-perovskites.185,186 The research and development (R&D) of CSD-derived ferroelectric films has been diverse, i.e., for processing routes and material properties of film as well as for the circuit integration in miniaturized components (such as FE capacitors and FeFETs) and their circuit performances. The main targets of such research are non-volatile memory devices, piezoelectric sensors/actuators, and their advanced combinations, i.e., piezoMEMS, for commercial use. Due to this R&D, several FE devices have been commercialized and are available in our daily life. The research has also yielded commercial-grade equipment and precursor solutions for the CSD of perovskite-type FE materials.
Research on CSD-derived FE films has recently shifted to non-perovskite fluorite-type films since the finding of ferroelectricity in HfO2-based solid solution systems.60,166 The first report by Starschich et al.187 on Y-doped HfO2 films prepared by the hybrid-type CSD route presented the film’s ferroelectricity (with remanent polarization of >13 µC/cm2) together with their “wake-up” behavior and piezoelectric response. This research was extended to doped HfO2 with various dopant elements (e.g., lanthanides, transition metals, and alkaline metals), as well as solid solution systems, such as HZO79,188,189 and HfO2–CeO2 (HCO).190 The CSD process supports the research on HfO2-based FE films significantly because it is compatible with multi-component materials, enabling systematic survey on solid solution systems in the manner of combinatorial chemistry. The process mechanism of CSD has also been extensively investigated because solution-derived precursor films (amorphous) exhibit unique crystallization behavior to form the FE o-phase, which is somewhat different from the precursor films obtained from vapor deposition processes, such as ALD, pulsed laser deposition (PLD), and sputtering.79,188 CSD processes have been used to fabricate FE circuits, such as FeFETs or ferroelectric gate transistors (FGTs) in which FE HfO2-based films are used as gate insulators.191,192
2. Current and future challenges
Combinatorial research on doped HfO2 has involved a variety of dopant elements (Y3+, La3+, Nd3+, Sm3+, Er3+, Yb3+, Al3+, Ga3+, In3+, Mg2+, Sr2+, Ba2+, Co2+, Ni2+, and Ca2+193–196) to systematically organize the effect of dopant species on phase-formation behavior and FE properties. CSD be a good candidate as a combinatorial process based on chemical solution for material research on doped HfO2 to survey the optimized composition of multi-component films in which the chemical composition of the resulting films can be easily controlled by regulating the species and concentrations of solutes in the precursor solution. Research on doped HfO2 will be extended to “co-doped” systems that include two or more dopant species, such as Y-doped HZO,197 for addressing future challenges, i.e., stabilizing the FE HfO2 phase, enhancing Pr or Ps, and controlling their wake-up (or fatigue) behavior.
The crystallization mechanism of CSD-derived HfO2 films has been extensively investigated because they can be subjected to the effects of any process factor (e.g., residual stress caused by by-product removal and organic or carbon impurities included in the precursor gel films) compared with vapor deposition processes. For example, reports indicated that CSD-derived HfO2–ZrO2 films tend to exhibit optimum composition with the ZrO2-rich region,79,188 which is somewhat different from HfO2:ZrO2 = 0.5:0.5 for ALD-derived films;166 it can occur due to in-plane tensile stress generated by the pyrolysis or crystallization process. The mechanism of phase formation in CSD-derived HfO2–ZrO2 films is also controlled due to the conditions of pyrolysis and crystallization (i.e., temperature, atmosphere, and pressure188,189,197), which can be more significant than vapor deposition processes because of the presence of hydrocarbon impurities. In addition, systematic data for the crystallization mechanism of doped HfO2 films are reported in recent works,193,196 which discussed the thermal decomposition behavior of the precursor solutions to form final products based on thermal analysis. Comprehensive approaches will be considered for solving the problems related to process factors, i.e., designing starting chemicals (alkoxides, β-diketonates, organic acid salts, etc.) and solvents that enable complete removal or by-products from the precursor films without residual stress.
The microfabrication process of FeFETs would be one issue of great importance for R&D of ferroelectric thin films. In the most recent studies, CSD is related to process flows of FeFETs in which the CSD-derived films of FEs Y-doped HZO197 and HCO192 and ITO were used as the ferroelectric gate and oxide channel layers, respectively. They exhibited on/off current ratios of 106–107 and memory windows with hysteresis loops of IDS − VGS curves. The HfO2-based FeFETs were also integrated with paraelectric capacitors to form ferroelectric-gate controlled variable capacitors (Fe–V cap). For advancement to FeFETs with high-density or large-scale integration, the degree of integration and reliability of gate operation will be critical issues for these applications, which can be achieved using the process mechanism of CSD as mentioned above.
3. Advances in science and engineering to meet these challenges
CSD will be used continuously for material research on HfO2-based FE films in the future, especially for combinatorial surveys on multicomponent systems, such as “co-doped HfO2,” owing to its flexible tunability during chemical composition. Almost all metals of alkaline, alkaline-earth, transition, and lanthanoid will be commercially available for such research as starting chemicals of these elements have been delivered for commercial use. One critical issue with the survey research is the concept of material models, i.e., how to choose the species of dopant elements for the research targets (e.g., phase stability and polarization). Combination with any sophisticated approaches through theoretical calculation (such as a DFT calculation) or statistical analysis (such as a mechanical learning algorithm)35,36,55 will assist greatly in preparing material models.
In addition, to establish the position of CSD processes for commercial use, their essential problems related to by-products or impurities must be overcome to maintain the reliability of circuit operation on fully integrated ferroelectric capacitors or FeFETs. The process design for the complete removal of organic species from precursor films (preferably before the crystallization step) without residual stress will be necessary, furthermore, for developing commercial-grade CSD processes. Although optimizing the process parameters for pyrolysis or crystallization is rightly an important approach for them, as clarified in previous research, other innovative actions, e.g., using designed chemicals (alkoxides or coordinate compounds with dissociable functional groups or ligands, etc.) and solvents (liquid media suitable for by-product extraction, including supercritical alcohol or CO2 fluids198) for preparing the precursor solution of CSD, are also expected strongly.
4. Concluding remarks
CSD has high compatibility with various situations of material synthesis, e.g., from lab-scale sample preparation for combinatorial surveys to the manufacturing process of FE components or devices for commercial use. It will be used differently compared with its technological counterparts, i.e., vapor deposition techniques, such as ALD, PLD, and sputtering, because it has unique advantages (tunability of chemical composition, large-area deposition, process simplicity, cost, etc.) and problems (impurity, residual stress, step coverage, etc.), which are obviously different from those of the vapor deposition. In particular, R&D for multi-component HfO2 systems, such as co-doped HfO2 ferroelectric films, is one important role of the CSD process because it extends to other fluorite systems, such as multicomponent ZrO2 or CeO2 systems, which will contribute greatly to clarifying the essential mechanism of ferroelectricity in fluorite systems.
C. Pulsed laser deposition
Florencio Sánchez and Ignasi Fina
1. Status
Research of FE HfO2 pivots around CMOS-compatible polycrystalline films. Pulsed laser deposition (PLD) is scarcely used to grow doped HfO2 polycrystalline films199,200 even though the FE phase can be obtained with a low thermal budget.201 Commercial PLD setups allow for large-area deposition on several inches wafers, but PLD is not suitable for 3D integration and thus does not compete with ALD. Instead, PLD is well suited for growing epitaxial films, which can be model systems for a better understanding of properties and prototyping devices.
Epitaxial growth of FE HfO2 by PLD is generally performed at a substrate temperature around 700–800 °C and an oxygen pressure around 0.01–0.1 mbar without an annealing process.20,27,202–205 PLD is characterized by a very high instantaneous supersaturation and extremely fast crystallization after each laser pulse. This reduces the probability of chemical segregation and formation of secondary phases compared to other techniques.
Yttria-stabilized zirconia (YSZ) fluorite single crystals were first used as a substrate for epitaxial stabilization of the o-phase, usually on indium-tin oxide (ITO) electrodes. The doped HfO2 films replicate the orientation of the YSZ substrate, (001), (110), or (111).202,206,207 Perovskite substrates, in particular SrTiO3(001) buffered with La0.67Sr0.33MnO3 (LSMO) electrodes, are also used to grow doped HfO2(111) epitaxial films.20,27,203–210 The FE phase stabilized epitaxially on LSMO is claimed to be rhombohedral27 or orthorhombic.20,203 In-plane and out-of-plane orientation can be modified by using other substrate orientations.67,211–213 Stress engineering allows for the control of the phase formed, and films on LSMO buffered scandate substrates are almost pure orthorhombic and have high Pr of around 25 µC/cm2.214 Furthermore, HfO2 films can be epitaxially integrated on Si(001) using buffer layers.215–218, Ec of epitaxial films, unlike polycrystalline films, generally scales with thickness (t) according to the Ec ∝ t−2/3 dependence.20,204 PLD-grown epitaxial films rarely exhibit a wake-up effect, and some films present an endurance of up to 1011 cycles.20,219 Retention is generally very high, and the retention–endurance dilemma that polycrystalline films show is not present.219
Polycrystalline or epitaxial doped HfO2 films have also been grown at room temperature by PLD or sputtering, and the FE phase is formed by annealing.175 Remarkably, polarization is high in films up to 1 µm thick, while polarization generally vanishes in other polycrystalline or epitaxial films thicker than a few tens of nanometers.175 In this case, Ec does not show thickness dependence.
The PLD plasma under low pressure is extremely energetic and can degrade crystal growth. Deposition in a mixed atmosphere of Ar and O2 has made it possible to decouple plasma energy and oxidation conditions, and a great improvement of the polarization is obtained in low oxidation conditions (Fig. 8).220 On the other hand, lattice strain seems to be less relevant than in conventional FEs. Free-standing epitaxial membranes have been obtained by chemical etching of the LSMO electrode.221 After etching, strain relaxes, and the (111) out-of-plane spacing of the initial rhombohedral unit cell reduces, resulting in an o-unit cell. Membrane bending does not affect the polarization, consistent with measurements of polycrystalline HfO2-based membranes.
Color map of Pr as a function of argon (PAr) and oxygen (PO2) pressure for Hf0.5Zr0.5O2(111) films deposited under a mixed Ar/O2 atmosphere. Adapted from Ref. 220.
Color map of Pr as a function of argon (PAr) and oxygen (PO2) pressure for Hf0.5Zr0.5O2(111) films deposited under a mixed Ar/O2 atmosphere. Adapted from Ref. 220.
2. Current and future challenges
As mentioned, the polarization is improved in films grown by PLD under low oxidation conditions. However, the impact of the expected oxygen vacancies on the microstructure and important properties, such as reliability and switching mechanisms, remains to be determined. On the other hand, the balance between thermodynamics and kinetics, critical in the synthesis of polycrystalline films,222 is almost unexplored in PLD films, and only the variation of the deposition temperature has been explored.204 Very high polarization of about 50 µC/cm2 has been measured in epitaxial Y:HfO2(111) films on LSMO/STO(110),67 which is much higher than 30–32 µC/cm2 expected for pure orthorhombic films (111) oriented. It has been argued223 that the measured polarization could contain extrinsic contributions related to oxygen migration as visualized by STEM characterization.142 On the other hand, epitaxial HZO(111) films on STO(110)212 or scandate214 substrates or on STO(001) using low oxidation deposition conditions220 are almost free of parasitic phases, and its polarization is around 30 µC/cm2.
Lattice strain greatly affects the polarization of perovskite ferroelectrics, but its impact on the ferroelectric properties of HfO2 is unclear. It is difficult to separate the effects of lattice strain from other factors, particularly the o-phase fraction. Pr of epitaxial films increases with the presence of the o-phase, which is controlled by varying the oxygen pressure during the growth, the thickness, or the substrate. In addition, Pr of epitaxial films on LSMO tends to increase with decreasing out-of-plane lattice parameters, which might indicate the presence of strain effects.20 However, Pr does not change with bending in flexible epitaxial HfO2 membranes,221 although this latter result is not conclusive due to the limited explored strain range.
It is unknown why the o-phase is stable in films hundreds of nanometer thick, prepared at room temperature by PLD or sputtering and crystallized by annealing.175 The contribution of surface energy is greater in films with small grains and non-columnar growth. Indeed, Ec of films prepared by solid-phase epitaxy or polycrystalline films prepared by other methods shows little thickness dependence,175 while it decreases with thickness in epitaxial films prepared by conventional PLD.20,204 It is also suggested175 that the use of Y or another rare earth as a dopant is essential, but the exact mechanism remains to be understood.
Wake-up in polycrystalline HfO2 films is believed to be caused by redistribution of oxygen vacancies and/or t- to o-phase transformation. It is dependent on doping (atom and concentration), but there is a scattering of results for a particular composition. Wake-up in HfO2 is not yet well understood, and epitaxial films could be a convenient model system to investigate it. However, epitaxial films prepared by PLD show little or no wake-up effect. It would be of interest to introduce oxygen vacancies or use alternative electrodes with the aim of generating wake-up to obtain information through the correlation with a well-controlled microstructure.
Fatigue is recurrently observed in epitaxial HfO2 films, and minimizing it is a primary objective. In ferroelectric perovskites, the replacement of metallic electrodes by conducting oxides allowed for a large reduction of fatigue.224 HfO2-based full epitaxial oxide capacitors have not yet been investigated. On the other hand, (111) oriented Hf0.5Zr0.5O2 epitaxial films show less fatigue225 and faster switching226 with the presence of a m-phase than almost pure o-films. It would be of interest to evaluate fatigue and other functional properties of epitaxial films that have other orientations, as well as monocrystalline films (without crystal variants).
Ultra-thin layers are used in tunnel junctions and nanolaminates. The thickness must be ideally homogeneous and controlled with atomic precision. These requirements cannot be met with polycrystalline samples. Epitaxial films may be flatter, but control of thickness at the sub-unit cell scale and over large areas is not yet achieved. In addition, the sharper interfaces produced by epitaxial films can be advantageous to understand device response in ferroelectric capacitors or transistors.
3. Advances in science and engineering to meet these challenges
PLD chambers in clusters that include an x-ray photoelectron spectroscopy chamber for characterization without air exposure are available. These can be useful to analyze the formation and distribution of oxygen vacancies and redox processes occurring when a top electrode is deposited. On the other hand, the control of oxygen vacancies in a film prepared by PLD under mixed Ar/O2 atmosphere220 can help us to determine the impact of vacancies on wake-up and fatigue. Epitaxial growth of LSMO or other conductive oxides, including ITO or other oxides with diverse functionality (ferroelectricity, ferromagnetism, etc.) on HfO2, can also be of great interest to determine the possibility of improving endurance or investigating multifunctional properties in full epitaxial capacitors.
The growth rate directly affects the ratio between thermodynamics and kinetics, but its influence on o-phase stabilization in PLD-grown films has not been investigated. Furthermore, PLD growth is pulsed, and both the instantaneous (thickness/laser pulse) and average (thickness/second) growth rate can introduce kinetic limitations.
Strain effects on polarization are not observed in epitaxial HZO(111) membranes upon bending. Another membrane orientation, particularly (001), could perhaps be more sensitive to bending. On the other hand, stabilization of the o-phase in epitaxial HfO2/LSMO bilayers on Pb(Mg, Nb)O3–PbTiO3 (PMN-PT) or other piezo-substrates would allow for active control of strain. PMN-PT, with a lattice parameter similar to that of some scandate substrates, is expected to be a suitable substrate. The impact of crystalline orientation determined by substrate orientation on functional properties beyond ferroelectric polarization should also help for the better understanding of properties. On the other hand, comprehensive electrical measurements could get insights into the possible coexistence of intrinsic polarization and extrinsic polarization related to oxygen migration.67,142
Whether the robust ferroelectricity in films around 1 µm thick is caused by the dopant atom or by the particular microstructure of the films needs to be determined. Determining the thickness effects in HfO2 films grown by conventional epitaxy and doped with Y and other rare earth elements would be relevant to discern whether the orthorhombic phase is, indeed, much more stable with a rare earth dopant. On the other hand, detailed microstructural characterization of a series of films with varied dependence of polarization with thickness could provide clues on the causes and suggest new growth strategies to achieve ferroelectricity in thick films prepared by other deposition techniques.
One virtue of FE HfO2 is its robustness at the ultrathin limit, but precise control at such low thicknesses is difficult. PLD can be combined with reflection high-energy electron diffraction (RHEED) to monitor crystallinity, roughness, and formed phases and even control the thickness with sub-unit cell accuracy in the case that epitaxial growth mode is layer-by-layer. Some HZO epitaxial films exhibit the streaky RHEED pattern.227 RHEED intensity oscillations, not yet reported, would allow for the unprecedented thickness control for ultrathin HfO2 layers.
4. Concluding remarks
PLD has been demonstrated to be a highly effective technique for the epitaxial growth of ferroelectric HfO2 with high homogeneity, flat surfaces, and interfaces and excellent functional properties (Fig. 9). Almost FE phase pure films can be obtained by epitaxial stress engineering or by deposition under a mixture of inert Ar and O2 to induce oxygen vacancies. Wake-up is very low or null, and the endurance up to 1011 cycles is limited by fatigue. Fatigue in full-oxide epitaxial capacitors remains to be investigated. Films hundreds of nanometers thick, grown at room temperature and crystallized by annealing, show high polarization.
Summary of current research and future challenges on the investigation of ferroelectric HfO2 films grown by pulsed laser deposition.
Summary of current research and future challenges on the investigation of ferroelectric HfO2 films grown by pulsed laser deposition.
The causes of this robustness are not determined. Strain effects on the polarization of HfO2 are neither obvious nor discriminated from other factors. Advances in the fabrication of epitaxial membranes and stabilization of the ferroelectric phase on piezoelectric substrates could provide relevant information. RHEED-assisted PLD growth, rarely used to date for ferroelectric HfO2, could be used to grow highly homogeneous epitaxial orthorhombic films with the precise control of thickness needed in tunnel junctions and nanolaminates.
5. Acknowledgments
Financial support from the Spanish Ministry of Science and Innovation (Grant No. 10.13039/501100011033), through the Severo Ochoa FUNFUTURE (Project No. CEX2019-000917-S funded by MCIN/AEI), Project No. TED2021-130453B-C21 funded by MCIN/AEI and European Union NextGenerationEU/PRTR, and Project Nos. PID2020-112548RB-I00 and PID2019-107727RB-I00 funded by MCIN/AEI, and from Generalitat de Catalunya (Grant No. 2021 SGR 00804) is acknowledged.
D. Atomic layer deposition
Min Hyuk Park, Taegyu Kwon, and Younghwan Lee
1. Status
The discovery of ferroelectricity in Si:HfO2 has attracted massive interest from researchers and industries to solve the longstanding challenges in FE-based memory applications, such as the 130 nm size limit in technology nodes and poor complementary metal-oxide-semiconductor (CMOS) compatibility.21 With this discovery, 28 nm technology node FeFET,228 22 nm technology node ferroelectric fully depleted silicon-on-insulator (FDSOI),229 and 64 kbit FeRAM were demonstrated.230 Such rapid advances in FE HfO2 are based on ALD. Notably, more than 85% (up to 2019) of the total publications focused on ferroelectric HfO2 are made by ALD.231 ALD is a deposition technique that is based on sequential, surface chemisorption reactions between substrates, metal precursors, and reactants, as shown in Fig. 10(a).232 Owing to its self-limiting nature and surface-saturated reaction, ALD provides numerous advantages, such as precise control of the thickness of film within the atomic level, excellent film uniformity, conformality, step coverage, and process compatibility, which makes it useful in the semiconductor industry.233 Due to these advantages, ALD has been successfully implemented into the semiconductor industry mainly to deposit high-k materials (e.g., HfO2) as a gate dielectric.234 Furthermore, to scale down the technology node to a few nanometers, depositing materials on a complex 3D structure, such as FinFET235 and gate-all-around (GAA) FET,236 homogeneously, uniformly, and conformally becomes even more important. Under such circumstances, appropriately employing the ALD in semiconductor processing is a viable option to meet the aforementioned requirements.
(a) A general scheme of the atomic layer deposition (ALD) process for HfO2 using Hf[N(CH3)C2H5]4 (TEMAHf) as the Hf precursor and H2O as the reactant. Ligand refers to N(CH3)C2H5. (b) Comparison of the conventional ALD process and discrete feeding (DF)-ALD process scheme (left) and the polarization-electric field hysteresis (right) of a 6 nm thick Hf0.5Zr0.5O2 film sandwiched by TiN top and bottom electrodes, where the Hf0.5Zr0.5O2 films were deposited using conventional ALD (black curve) and DF-ALD (red curve). The hysteresis curve is reproduced with permission from H. H. Kim, “Enhanced electrical characteristics of Hf1−xZrxO2 film utilizing discrete feeding method,” M.S. thesis, ■, 2020. Copyright 2020 Author(s), licensed under a Creative Commons Attribution 4.0 License. (c) A process scheme of atomic layer etching consisting of fluorination (left) and ligand exchange (right) steps. Reproduced with permission from Y. Lee and S. M. George, J. Vac. Sci. Technol. A 36, 061504 (2018). Copyright 2022 American Vacuum Society.237 (d) Giant tunneling electroresistance and lowered read voltage are observed for the atomic layer etched Hf0.5Zr0.5O2 film with 6.2 nm thickness. Reproduced with permission from Hoffmann et al., Appl. Phys. Lett. 120, 122901 (2022). Copyright 2022 American Institute of Physics.
(a) A general scheme of the atomic layer deposition (ALD) process for HfO2 using Hf[N(CH3)C2H5]4 (TEMAHf) as the Hf precursor and H2O as the reactant. Ligand refers to N(CH3)C2H5. (b) Comparison of the conventional ALD process and discrete feeding (DF)-ALD process scheme (left) and the polarization-electric field hysteresis (right) of a 6 nm thick Hf0.5Zr0.5O2 film sandwiched by TiN top and bottom electrodes, where the Hf0.5Zr0.5O2 films were deposited using conventional ALD (black curve) and DF-ALD (red curve). The hysteresis curve is reproduced with permission from H. H. Kim, “Enhanced electrical characteristics of Hf1−xZrxO2 film utilizing discrete feeding method,” M.S. thesis, ■, 2020. Copyright 2020 Author(s), licensed under a Creative Commons Attribution 4.0 License. (c) A process scheme of atomic layer etching consisting of fluorination (left) and ligand exchange (right) steps. Reproduced with permission from Y. Lee and S. M. George, J. Vac. Sci. Technol. A 36, 061504 (2018). Copyright 2022 American Vacuum Society.237 (d) Giant tunneling electroresistance and lowered read voltage are observed for the atomic layer etched Hf0.5Zr0.5O2 film with 6.2 nm thickness. Reproduced with permission from Hoffmann et al., Appl. Phys. Lett. 120, 122901 (2022). Copyright 2022 American Institute of Physics.
2. Current and future challenges
To be practically applicable, it is important for FE HfO2-based devices to have reliable and uniform properties. Hence, for good reliability of FE HfO2, properties such as less wake-up effect, high endurance, long retention, low leakage current, and high switching speed become important. It is known that the reliability of FE HfO2 depends on the chemical, crystallographic-structural, and microstructural properties of HfO2 (e.g., crystallographic phase, grain size, defect, and orientation), which are significantly affected by the ALD conditions. The uniformity in microstructural properties is even more important when the technology node reaches down to an atomic scale. It should be noted that in a 5 nm-thick HfO2 film, only ten unit cells of the HfO2 crystal are stacked vertically. Thus, inhomogeneous film properties (e.g., high surface roughness and broad orientation distribution) throughout the surface would be a critical problem and lead to poor reliability.
The first challenge in ALD-deposited ferroelectric HfO2 is to accurately control the chemical composition of the FE HfO2. In ALD, the doping concentration is controlled by the relative ratio between the injection cycles of Hf and dopant precursors. The frequently reported number of dopant injection cycles for 10 nm-thick doped HfO2 (except in the case of Zr-doping) is less than 5, suggesting that the FE properties are strongly affected by minute changes in growth per cycle (GPC). Moreover, based on the physical scaling trends, sub-5 nm films will be required in the near future, which implies that accurate composition control will become more challenging. The unintended doping effect arising from residual impurities or oxygen vacancies is another critical issue.238,239 It should be noted that the majority of the ALD-deposited FE HfO2 employs organometallic precursors in which the metallic Hf is bonded with an organic ligand. The incomplete reaction during ALD is known to increase residual impurities (such as C, N, and H concentration). The residual impurities can act as trap sites in the electrical bandgap or pinning sites for DWs, which could deteriorate device performance.
The second challenge is to deposit a uniform film with a homogeneous orientation distribution to minimize the device-to-device variation in integrated circuits with FE memories and to improve compatibility with back-end-of-line (BEOL) processes. For the case of 1T–1C FeRAM, one solution is a strategic choice of bottom electrode considering lattice mismatch. For the case of FeFETs where FE HfO2 is directly grown on a Si substrate, there seems to be no clear solution. Although the report on ferroelectric HfO2 with a preferred orientation thinner than 2 nm can be important progress,69 there is no clear solution for physically scaling up its preferred orientation to the practical thickness range (∼10 nm) with a suppressed leakage current. Achieving uniformity and homogeneous orientation distribution will become more difficult when FE HfO2 films are adopted for more complicated 3D nanostructures, such as gate insulators of FinFETs or GAA FETs, 3D capacitors in FeRAMs, and 3D vertical FeFET arrays for post-NAND. Furthermore, it is not certain whether the preliminary results of the Si substrate can be applicable to poly-Si channels. Additionally, to be compatible with the BEOL processes, the entire process, including thermal treatment, should be conducted at a temperature lower than 400 °C. Although there have been reports on FE HfO2–ZrO2 films crystallized at <400 °C,240–242 given that the crystallization temperature generally increases with a decrease in film thickness, inducing ferroelectricity in the as-deposited film without subsequent annealing at sub-5 nm thickness regime is a promising strategy for the BEOL processes compatibility.
The third challenge is to achieve high-quality interfaces at electrodes or semiconductors because the performances of the nanoscale electronic devices are critically affected by the quality of the interfaces. In particular, in ALD-deposited FE HfO2, an oxygen source is required to oxidize the metal precursors by removing organic ligands. The oxygen sources, such as O3, O2 plasma, H2O, and H2O2, have been utilized for the ALD of FE HfO2.243 These can cause chemical changes in FE HfO2 as well as the bottom materials. For FeFETs, the interfacial or bulk traps formed at the gate stack interface have been a critical factor that limits the endurance of the device.244 Although inserting a high-k interfacial layer, such as SiO2,245 SiOxNy,246,247 Al2O3,248 and TiO2,249 has been suggested as a promising solution to improve the endurance of the device, adding another interfacial layer would increase the operating voltage of the devices, which is undesirable from the viewpoint of power consumption.
3. Advances in science and engineering to meet these challenges
Reducing the device size is a significant goal for the nanoelectronics industry; therefore, the production of metal oxide films with dimensions below the sub-nanometer scale is important. Recent research on sub-nanometer HfO2-based FEs by Cheema et al. in 2022 supports the importance of reducing the device size.68 This reduction can be achieved by the newly designed ALD processes explained below. It has been reported that discrete feeding ALD (DF-ALD), which is the repetition of the precursor half-cycle procedure before the reactant half-cycle, could increase the surface coverage and lower the surface roughness by promoting monolayer-by-monolayer growth.250 The schemes of the conventional ALD (left top panel) and DF-ALD (left bottom panel) are compared in Fig. 10(b). Similar to replacing the second precursor half-cycle, the DF-ALD cycle from a Hf/Zr precursor to the dopant precursor enables a homogeneous distribution of a dopant across the film.251,252 Kim reported that adopting DF-ALD could effectively increase Pr, especially for the cases of ultra-thin films under a low thermal budget and the polarization-electric field curves of TiN/Hf0.5Zr0.5O2/TiN capacitors, where the Hf0.5Zr0.5O2 films were grown using conventional ALD (black curve) and DF-ALD (red curve), are shown in the right panel of Fig. 10(b).253
Atomic layer etching (ALE) would be a potential solution to resolve the issue with the crystallization of ultra-thin HfO2-based ferroelectric films. A scheme of ALE of the HfO2 film is shown in Fig. 10(c). First, a HfO2-based thin film can be deposited to a depth suitable for low-temperature crystallization (thickness of ∼5–10 nm). Subsequently, the film can undergo atomic layer etching with accurate and uniform thickness control, which may lead to the formation of ultra-thin crystallized films with smoother surfaces. Hoffmann et al. reported that the device performance of FJT with a Hf0.5Zr0.5O2 ultra-thin film could be enhanced by adopting ALE.254 Figure 10(d) shows the changes in the tunneling electro-resistance ratio (TER) of the FTJ and read voltage (Vread) of their FTJ with varying thicknesses of the Hf0.5Zr0.5O2 thin film. Area-selective ALD (AS-ALD) is another emerging technology that enables nanoscale patterning with reduced chemical or physical damage compared to that from a conventional dry etching process. This procedure was demonstrated for a dielectric HfO2 film by Tao et al. in 2010. With regard to the improvements in 3D memory technology, such as 3D NAND, adopting the ALE and AS-ALD approaches for ferroelectric memory technology would be vital for progress in this field.
Finally, engineering the ALD process can also provide viable methods to control the interfacial properties of thin films. The recently suggested HfO2/ZrO2 nanolaminate would be an effective way to improve the electrode/ferroelectric or semiconductor/ferroelectric interfaces.255,256 Plasma pre-treatment of the bottom electrode or the substrate is a promising method to engineer the lower interface because the initial growth stage after ALD is strongly affected by the concentration of reactive surface functional groups, such as –OH.257 Furthermore, the fabrication of the entire MFM stack without breaking the vacuum in a single ALD chamber, known as sequential, no-atmosphere processing (SNAP), would provide a chemically sharp interface.258,259 These advances can be effective solutions to achieve reliability in thin film production without inserting additional high-k interlayers.
4. Concluding remarks
The well-established ALD of HfO2 has enabled rapid advances in HfO2-based ferroelectrics, and it is known that the chemical and structural properties of FE HfO2 films are critically affected by the ALD conditions. There are several technical challenges, such as (1) accurate control of chemical composition, (2) deposition of uniform and adequately textured films with BEOL-compatible processes, and (3) high-quality interfaces at electrodes or semiconductors. Although these challenges persist even in the ∼10 nm thickness regime, they will be more difficult due to the current trend of physical scaling-down and applications in 3D nanostructures. Thus, extensive research and development to resolve these issues are required to develop practical semiconductor devices based on ferroelectric HfO2. Development of new metal precursors; emerging ALD techniques, such as discrete feeding DF-ALD, SNAP ALD, and AS-ALD; and various wet/dry surface treatment techniques260 could be exemplary approaches.
5. Acknowledgments
This work was supported by the National Research Foundation (NRF) funded by the Korean Ministry of Science and ICT (Grant Nos. 2022M3F3A2A01073562, 2020R1C1C1008193, and 2021M3F3A2A02037889). We would like to thank Editage (www.editage.co.kr) for editing and reviewing this manuscript for English language.
E. Sputtering
Bertrand Vilquin
1. Status
A sputtering deposition is a physical vapor deposition generally carried out in a low-pressure atmosphere (pressure lower than 0.1 mbar). A cathode sputtering setup is at least a secondary vacuum chamber with a target (material to be deposited) and a substrate holder. Gas flow detectors and DC or RF generators are needed to create and control the electrical discharge of a plasma. The deposit may relate to metals or ceramics. The principle of cathode sputtering consists in generating a plasma formed mainly of high-energy ions (often ionic argon), which will sputter the target and eject atoms from it. The atoms and ions created will then be deposited on the substrate, which can be heated or not. The sputtering process will allow for controlling of the film quality by playing on the target nature (metallic, ceramic), plasma pressure and composition (reactive sputtering), and DC/RF power applied to the target.
In comparison to ALD grown FE HfO2, few reports on the sputtering-grown samples have been undertaken so far. Various elements can be used as dopants by sputtering to lead to ferroelectricity in HfO2 films: Sc, Y, Nb, Al, Si, Ge, and Zr dopants.261 We can note that the thicker FE HfO2 film (up to 1 µm thick) was obtained by sputtering the Y doped HfO2 target.262 Moreover, sputtering of pure HfO2 at different target powers leads to the FE o-phase after appropriate annealing.263 Identically, using ion beam sputter deposition, ferroelectricity can be obtained in pure ZrO2 with the r-phase264,265 or o-phase.266
In this present review article, we focus on the zirconium doping of HfO2. The sputter process shows several contributions compared to ALD, such as room temperature deposition, very low carbon contamination, non-equilibrium deposition, cost, possibility of growing the entire MFM stack inside the same system in one run, and avoiding surface and interface air contamination. In comparison to ALD, it seems that it is possible to easily get the FE o-phase at lower dopant concentrations.170 The authors claimed that the species intermixing is improved by sputtering. For all the publications, deposition by sputtering is performed at room temperature on TiN-buffered silicon and followed by a post-deposition annealing. Room temperature deposition enabled growth on different substrates, such as 2D semiconductor MoS2.267 For the majority of articles on sputtering deposition, the post-deposition annealing temperature in order to obtain the o-phase is often higher than with ALD in the range 600–900 °C.170 Several explanations can be proposed: less presence of adjuvants such as carbon in order to decrease the crystallization temperature and room temperature deposition leading to a more amorphous state when the ALD process is performed around 250–300 °C. Bouaziz et al., however, were able to decrease the thermal budget to 450 °C with Pr rising 20 µC/cm2 after wake-up cycles.11,268 Hachemi et al. also found an o-phase crystallization temperature of about 370 °C, but the annealing was not performed in a rapid thermal annealing system but in situ with very low heating and cooling rates.269
2. Current and future challenges
Different sputter chamber configurations are used: metallic Hf/Zr single target for reactive sputtering,270 ceramic HZO single target,268,269 and co-sputtering from HfO2 and ZrO2 single targets, which is the most used setup.271 Indeed, co-sputtering enables the control of more parameters, especially the zirconium dopant concentration, by tuning the ZrO2 target power.263,272–274 Ferroelectricity is then found for Zr doping concentration from 0% to 50%. Single target sputtering does not allow for film composition modulation: one target for one film composition. The Zr and Hf deposition rate is target aging dependent, with the possible evolution of the Zr/Hf ratio deposition after deposition, leading to a sputtering repeatability problem. In addition, the use of the metallic Hf/Zr single target only leads to FE films with low Pr: 6 µC/cm2 after wake-up cycling.14,270 This low value can be explained by the difficulty in very well controlling the film stoichiometry by reactive sputtering. On the contrary, in the case of ceramic HZO single target sputtering, sputtered and nanostructured films can reach, at a low thermal budget of 450 °C, a remanent polarization of 20 µC/cm2, an endurance to 1 × 107 cycles, a reducing wake-up effect, and a long-term retention.268,275
Sputtering enables to perfectly control as well as engineer the interface between the ferroelectric HZO film and its electrodes by introducing a very thin metallic layer,276,277 leading to an increase of Pr at the lowest thickness of 6 nm by tuning the oxygen vacancies in the film.
The first important parameter to control the growth of FE HZO films is the deposition plasma pressure. Bouaziz et al. demonstrated the strong impact of the working pressure on the film’s structural and physical properties.278 Indeed, the deposition pressure will modify the mean free path and scattering of sputtered species. Low-pressure deposition (5 × 10−3 mbar) led to the formation of an as-deposited m-phase. After post-deposition annealing, the m-phase proportion increased without the formation of o-phase whatever the annealing temperature between 400 and 600 °C (Fig. 11). For the as-deposited film grown at high pressure (5 × 10−2 mbar), the film is then amorphous. After annealing, the film transformed into m- and o-phases with polarization loops (Fig. 12). The microstructure, such as grains size and crystallinity, and the chemical composition in the sputtered films may be modified by the deposition pressure. Small grains induced more likely the formation of the o-phase.
(a)–(d) GIXRD of low-pressure samples grown at 5 × 10−3 mbar: as-deposited and annealed at different temperatures. Adapted from Ref. 278.