A metal–oxide–semiconductor (MOS) gate stack that is self-aligned with the underlying silicon doping profile is demonstrated. We combine a new hybrid bottom-up patterning technique with atomic layer deposition (ALD) to selectively deposit a platinum-hafnium dioxide-silicon MOS gate stack. A poly(methyl methacrylate) (PMMA) brush is blanket grown from a Si(100) surface and selectively removed from the lightly doped (∼1018 cm−3) regions using a doping-selective KOH etch. The PMMA brush that remains on the heavily doped (∼1020 cm−3) regions effectively blocks the ALD of both HfO2 and platinum. MOS capacitors exhibit promising capacitance-voltage characteristics with a HfO2 dielectric constant of ∼25 and an average interface state density of 2.1 × 1011 eV−1 cm−2 following forming gas anneal.

One of the critical issues for the fabrication of high-performance silicon transistors is the alignment between the edge of the gate stack and the edge of the underlying doped source-drain regions of the silicon channel. Too much overlap results in a large fringe capacitance and a reduction in device speed while too much underlap can result in too large of an access or series resistance.1,2 Achieving an optimized alignment within an integrated high-k/metal gate stack can require complicated integration schemes such as the replacement metal gate technique.3 The processing and integration becomes even more challenging for gate all around (GAA) transistors with either lateral4 or vertical silicon nanowires,5 where numerous process steps are required to align the gate stack over the channel.

The overall objective of this work is to develop a process that aligns a metal–oxide–semiconductor (MOS) gate stack to the underlying channel based only on its compositional doping pattern, largely irrespective of the geometry. As a first step to achieving this objective, we combine a new hybrid bottom-up patterning technique with area-selective atomic layer deposition (AS-ALD) to deposit hafnium dioxide (HfO2) and platinum (Pt) thin films based on the local carrier concentration of a Si wafer to fabricate a high quality planar MOS capacitor. The availability of self-aligned device structures, such as MOS capacitors, would be valuable for a variety of emerging device applications, like vertical nanowire transistors monolithically integrated into the back end of line (BEOL)6 and flexible electronics requiring lower temperature processes and alternative patterning techniques that are more scalable than conventional photolithography.7 This work demonstrates a patterning and deposition technique that could be further developed to fabricate devices for such applications.

Atomic layer deposition (ALD) allows for the area-selective and low temperature deposition of thin films intimately aligned with compositional patterns of the underlying substrate, largely irrespective of the geometry. The most common techniques for area-selective ALD (AS-ALD) involve the use of self-assembled monolayers (SAMs)8–15 or other polymeric materials16–21 to mask the surface by preventing ALD precursors from chemisorbing. These techniques often require photolithography to pattern the surface modification layer13,16–18 or use surfaces patterned with different materials8,12,21,22 to apply the masking material selectively based on the underlying substrate. For example, a SAM can be assembled only on silicon dioxide (SiO2) regions of a patterned silicon wafer, leaving hydrogen-terminated silicon exposed.8 This allows for deposition of self-aligned features based on the underlying substrate but requires the underlying materials (silicon and SiO2) to have significantly different properties.

There are a number of challenges associated with the AS-ALD of a high-performance MOS gate stack. For BEOL and flexible electronics, one needs low temperatures and AS-ALD is well suited in this regard.23 However, a high-performance gate stack needs high-quality materials and low defect density interfaces. While there has been some electrical characterization of AS-ALD films in the literaure,11,12,19,24 there have been relatively few AS-ALD studies for such applications. In many cases, a self-aligned gate stack also requires selective deposition on chemically similar materials with only variation in dopant concentration designating the pattern (e.g., source/channel/drain), which is currently difficult to achieve with AS-ALD.

In this work, we combine a new hybrid bottom-up patterning technique with AS-ALD to deposit hafnium dioxide (HfO2) and platinum (Pt) thin films based on the local carrier concentration of a Si wafer. The patterning technique is a derivative of selective co-axial lithography via etching of surfaces (SCALES),25 which was originally demonstrated on cylindrical nanowires (hence co-axial) but is equally amenable to planar substrates. The SCALES technique begins with the blanket growth of a polymer brush, followed by its selective removal based on differences in the etch rate of the underlying substrate. This work uses a poly(methyl methacrylate) (PMMA) brush chemically bonded to the silicon surface, as an alternative to the more commonly used spin-coated PMMA, which allows for selective removal based on the underlying Si carrier concentration by leveraging the selective etch properties of KOH.26 KOH diffuses through PMMA, removing a few layers of lightly doped Si, which also removes PMMA from these regions. PMMA remains on the heavily doped Si, serving as a mask. There are many masking materials, from SAMs to polymers, that are likely useful depending on the situation. Here, we study the model polymer PMMA to demonstrate key process steps, but we expect a variety of derivative methods will work as well. Using the SCALES patterning technique with PMMA in combination with AS-ALD, we fabricate a self-aligned gate stack with promising capacitance–voltage characteristics.

Si(100) with doping levels of ∼1018 cm−3 (lightly doped) and ∼1020 cm−3 (heavily doped) is used as the substrate to mimic a typical MOSFET structure. A lightly boron-doped Si(100) wafer is patterned with a 500 nm-thick SiO2 mask before being doped with boron via solid state diffusion (2 h at 1050 °C, B2O3 source). The masked regions (100 × 100 μm2 squares and a large 4 × 6 mm2 rectangle for XPS characterization) remain lightly doped, while the rest of the Si substrate is heavily boron-doped. A PMMA brush is synthesized to deactivate the Si surface using adaptation of a previously described procedure.25 Briefly, an anchoring molecule (11-undecen-1-ol) is attached to the hydrogen-terminated Si surface via hydrosilylation at 150 °C for 2 h. Next, an initiating group (bromoisobutyryl bromide) is attached at room temperature for 2 h. Finally, PMMA is polymerized at 90 °C for 4 h via atom transfer radical polymerization of methyl methacrylate. The PMMA brush is selectively removed from the lightly doped regions via cycles of exposure to KOH solution (1 ml 45 wt. % KOH: 3 ml IPA: 8.5 ml DI H2O) to etch Si and acetone to solvate PMMA.

X-ray photoelectron spectroscopy (XPS) is used to characterize the selective removal of PMMA from the lightly doped silicon. The XPS measurements are conducted using a monochromatic Al Kα source with a spot size of 400 μm and a pass energy of 50 eV. Figure 1 shows typical C(1s) XPS spectra for the heavily- and lightly doped silicon immediately after the polymerization process and after 25 etch cycles. After polymerization [Fig. 1(a)], both the heavily- and lightly doped silicon show strong C(1s) peaks characteristic of PMMA. It is known that the C(1s) photoelectron spectrum shows four strong peaks for PMMA corresponding to the C-C/C-H, C in the α-position to the ester group, C–O, and O–C=O components at 285.0, 285.7, 286.8, 289.1 eV, respectively.27,28 Following 25 etch cycles [Fig. 1(b)], the C(1s) spectrum is unchanged for the heavily doped silicon, while the C(1s) peaks reduce by 90% for the lightly doped Si. The C(1s) signal observed for the heavily doped silicon after PMMA removal is equivalent to that of adventitious carbon (not shown).

FIG. 1.

XPS characterization of surface-initiated polymerization before and after selective KOH etch. C(1s) spectra on the heavily doped (blue) and lightly doped (black) regions after PMMA polymerization (a) and after the KOH etch (b).

FIG. 1.

XPS characterization of surface-initiated polymerization before and after selective KOH etch. C(1s) spectra on the heavily doped (blue) and lightly doped (black) regions after PMMA polymerization (a) and after the KOH etch (b).

Close modal

PMMA removal as a function of number of etch cycles is investigated and characterized with XPS integrated area ratios as well as spectroscopic ellipsometry. As seen in Figs. 2(a) and 2(b), the constant C(1s) and Si(2p) peak areas on the heavily doped Si verify the durable attachment of the PMMA brush. On the lightly doped Si, the C(1s) peak area decreases, and the Si(2p) peak area correspondingly increases as PMMA is removed. Spectroscopic ellipsometry is performed using a Woollam M200 ellipsometer, and the CompleteEASE software is used for fitting with the PMMA, SiO2, and HfO2 film models. Ellipsometry data in Fig. 2(c) show that the PMMA film thickness remains relatively constant on the heavily doped Si while it decreases down to just a few nanometers on the lightly doped Si. The achievable feature size relies on the underlying dopant profile and the polymer properties, as there likely will be some polymer overlapping the dopant junction. The degree of overlap and achievable feature size are expected to depend on the structure and length of the polymer and will be characterized with the future work. Overall, the results indicate that the process can achieve selective masking based on the underlying doping concentration.

FIG. 2.

XPS and ellipsometric characterization of the PMMA brush during the KOH etch process: (a) Integrated area of the C(1s) XPS spectrum (normalized to the initial peak area) vs number of etch cycles. (b) Integrated area of the Si(2p) XPS spectrum (normalized to the initial peak area) vs number of etch cycles. (c) PMMA film thickness measured with ellipsometry vs number of etch cycles.

FIG. 2.

XPS and ellipsometric characterization of the PMMA brush during the KOH etch process: (a) Integrated area of the C(1s) XPS spectrum (normalized to the initial peak area) vs number of etch cycles. (b) Integrated area of the Si(2p) XPS spectrum (normalized to the initial peak area) vs number of etch cycles. (c) PMMA film thickness measured with ellipsometry vs number of etch cycles.

Close modal

To fabricate a gate stack leveraging the SCALES-patterned PMMA mask, HfO2 and Pt are deposited via AS-ALD. A modified RCA clean consisting of 1 min in SC-1 (5 DI H2O:1 H2O2:1 NH4OH) at 75 °C, 30 s HF etch, and 10 min in SC-2 (5 DI H2O:1 H2O2:1 HCl) at 75 °C is used to clean the exposed Si before depositing the oxide and metal layers. The organic SC-1 clean is shortened to 1 min to minimize damage to the patterned PMMA film, and the SC-2 clean forms a ∼2 nm-thick passivating layer of chemical oxide on the Si surface. HfO2 is then deposited selectively with 60 cycles of thermal ALD using tetrakis(dimethylamido)hafnium (TDMAHf) and H2O precursors at 200 °C. The exposure times for TDMAHf and H2O are 0.25 and 0.06 s, respectively, followed by purge times of 20 and 15 s. Immediately following HfO2 deposition, platinum is selectively deposited with 300 cycles of thermal ALD using (trimethyl)methylcyclopentadienylplatinum(IV) (MeCpPtMe3) and O2 precursors at 300 °C. The exposure times for MeCpPtMe3 and O2 are 1 and 10 s, respectively, followed by purge times of 10 s. All materials are deposited with a Cambridge Fiji ALD system with argon as a carrier/purging gas and a total chamber pressure of 0.45 Torr.

The selectively deposited Pt-HfO2-Si gate stack is characterized with XPS after each step. Though the deposition temperatures are above the glass transition temperature of PMMA (∼115 °C),29 the polymer brush offers robust passivation against ALD. The Hf(4f) XPS spectra taken after HfO2 ALD in Fig. 3(a) show a doublet with peaks at 16.7 and 18.3 eV in the lightly doped region, confirming the selective deposition of HfO2 on the exposed Si surface. As can also be seen, minimal HfO2 deposits on PMMA covering the heavily doped regions. A comparison of integrated XPS peak areas for the lightly- and heavily doped Si regions reveals a deposition selectivity of 30:1. Notably, an examination of TiO2 and ZrO2 AS-ALD shows these materials are also compatible with this process (see the supplementary material). The Pt(4f) XPS spectra taken after Pt ALD in Fig. 3(b) show a strong doublet with peaks at 71.2 and 74.5 eV verifying selective deposition of Pt on top of HfO2 in the lightly doped regions with very little deposition on PMMA on the heavily doped regions. Here, the deposition selectivity between the lightly- and heavily doped Si regions is found to be 69:1, consistent with what is observed with SAMs30 and spincoated PMMA.16 

FIG. 3.

(a) Hf(4f) XPS spectra after HfO2 ALD of the PMMA-covered heavily doped Si region and of the lightly doped Si region. (b) Pt(4f) XPS spectra after Pt ALD (immediately following HfO2 ALD) of the PMMA-covered heavily doped Si region and of the lightly doped Si region.

FIG. 3.

(a) Hf(4f) XPS spectra after HfO2 ALD of the PMMA-covered heavily doped Si region and of the lightly doped Si region. (b) Pt(4f) XPS spectra after Pt ALD (immediately following HfO2 ALD) of the PMMA-covered heavily doped Si region and of the lightly doped Si region.

Close modal

Energy dispersive x-ray spectroscopy (EDS) is used to characterize the resulting self-aligned MOS structures to examine the spatial selectivity of deposition. Figure 4(a) shows an SEM image of four 100 × 100 μm2 MOS capacitors that are aligned with the lightly doped Si (square) patterns. Figures 4(b), 4(c), and 4(d) show EDS maps for Si, Hf, and Pt, respectively, confirming the presence of HfO2 and Pt mainly within the square patterns. The Hf Mα1 peak overlaps with the large Si Kα1 peak from the substrate, and the extra noise makes the pattern less clear, but a stronger intensity can be seen inside the square patterns where HfO2 is present under the Pt layer.

FIG. 4.

EDS characterization of self-aligned Pt-HfO2-Si MOS capacitors: (a) SEM image of four, square capacitors. (b) Si elemental mapping by EDS. (c) Hf elemental mapping by EDS. (d) Pt elemental mapping by EDS.

FIG. 4.

EDS characterization of self-aligned Pt-HfO2-Si MOS capacitors: (a) SEM image of four, square capacitors. (b) Si elemental mapping by EDS. (c) Hf elemental mapping by EDS. (d) Pt elemental mapping by EDS.

Close modal

The MOS capacitors are characterized with capacitance–voltage (C–V) measurements to study the electrical properties of the selectively deposited gate stack and investigate the impact of a forming gas anneal, which has been shown in the literature to reduce interface state density.31,32 Capacitance and conductance are measured at 100 kHz with a voltage sweep range from 3 to –3 V using a Keithley 4200-SCS semiconductor analyzer. Figure 5 shows the C-V behavior of three different MOS capacitors before and after a forming gas anneal at 400 °C for 30 min (96% N2/4% H2). With an oxide thickness of 6.1 nm of HfO2 and a 2 nm-thick interlayer of SiO2 (estimated from ellipsometry measurements), the maximum capacitance (from Fig. 5) is used to estimate a relative dielectric constant of ∼25.3, as expected for HfO2.33 The C–V curves demonstrate typical MOS characteristics and show improved behavior after the forming gas anneal, indicated by the curve’s reduced stretch out. The average interface state density of MOS capacitors following AS-ALD is 8.0 × 1011 eV−1 cm−2, as approximated using the conductance peak and Dit2.5qGpωmax. The inset plot in Fig. 5 shows that Dit can be reduced by a factor of 4 using a forming gas anneal. The Dit is relatively high for silicon based MOS capacitors, however, and future work will be performed to improve the interface quality with alternate surface cleaning techniques and improved interfacial layers.

FIG. 5.

Capacitance vs voltage behavior of three selectively deposited Pt-HfO2-Si MOS capacitors before and after forming gas anneal.

FIG. 5.

Capacitance vs voltage behavior of three selectively deposited Pt-HfO2-Si MOS capacitors before and after forming gas anneal.

Close modal

In summary, a self-aligned gate stack structure is demonstrated with a process that combines SCALES and AS-ALD. The PMMA brush grafted from the Si(100) surface is selectively removed based on the underlying semiconductor carrier concentration and serves as a mask for ALD. HfO2 and Pt are selectively deposited, forming MOS capacitors suitable for a gate stack. Current-voltage measurements show expected MOS capacitor behavior and improvement upon forming gas anneal. This process can be used to fabricate complex nano- and micro-structure devices without photolithography, which holds promise for BEOL processes and flexible electronics applications.

See the supplementary material for XPS characterization of selectively deposited TiO2 and ZrO2 films.

This work was supported by the Department of Defense (DOD) through the National Defense Science and Engineering Graduate (NDSEG) Fellowship Program and the National Science Foundation (No. CMMI-1916953). This work was performed in part at the Georgia Tech Institute for Electronics and Nanotechnology, a member of the National Nanotechnology Coordinated Infrastructure (NNCI), which is supported by the National Science Foundation (No. ECCS-2025462).

The data that support the findings of this study are available from the corresponding author upon reasonable request.

1.
J.-W.
Yang
,
P. M.
Zeitzoff
, and
H.-H.
Tseng
,
IEEE Trans. Electron Devices
54
,
1464
(
2007
).
2.
S. S.
Rodriguez
,
J. C.
Tinoco
,
A. G.
Martinez-Lopez
,
J.
Alvarado
, and
J.-P.
Raskin
,
IEEE Trans. Electron Devices
60
,
3710
(
2013
).
3.
A.
Veloso
,
L.-Å.
Ragnarsson
,
M.
Cho
,
K.
Devriendt
,
K.
Kellens
,
F.
Sebaai
,
S.
Suhard
,
S.
Brus
,
Y.
Crabbe
, and
T.
Schram
, paper
presented at the 2011 Symposium on VLSI Technology-Digest of Technical Papers
(
2011
).
4.
H.
Mertens
,
R.
Ritzenthaler
,
A.
Hikavyy
,
M.-S.
Kim
,
Z.
Tao
,
K.
Wostyn
,
S. A.
Chew
,
A.
De Keersgieter
,
G.
Mannaert
, and
E.
Rosseel
, paper
presented at the 2016 IEEE Symposium on VLSI Technology
(
2016
).
5.
C.
Li
,
H.
Zhu
,
Y.
Zhang
,
Q.
Wang
,
X.
Yin
,
J.
Li
,
G.
Wang
,
Z.
Kong
,
X.
Ai
, and
L.
Xie
,
Nano Lett.
21
,
4730
4737
(
2021
).
6.
S.
Datta
,
S.
Dutta
,
B.
Grisafe
,
J.
Smith
,
S.
Srinivasa
, and
H.
Ye
,
IEEE Micro
39
,
8
(
2019
).
7.
D.
Akinwande
,
N.
Petrone
, and
J.
Hone
,
Nat Commun
5
,
5678
(
2014
).
8.
R.
Chen
,
H.
Kim
,
P. C.
McIntyre
,
D. W.
Porter
, and
S. F.
Bent
,
Appl. Phys. Lett.
86
,
191910
(
2005
).
9.
R.
Chen
,
H.
Kim
,
P. C.
McIntyre
, and
S. F.
Bent
,
Appl. Phys. Lett.
84
,
4017
(
2004
).
10.
S.
Seo
,
B. C.
Yeo
,
S. S.
Han
,
C. M.
Yoon
,
J. Y.
Yang
,
J.
Yoon
,
C.
Yoo
,
H. J.
Kim
,
Y. B.
Lee
,
S. J.
Lee
,
J. M.
Myoung
,
H. B.
Lee
,
W. H.
Kim
,
I. K.
Oh
, and
H.
Kim
,
ACS Appl Mater Interfaces
9
,
41607
(
2017
).
11.
K. J.
Park
,
J. M.
Doub
,
T.
Gougousi
, and
G. N.
Parsons
,
Appl. Phys. Lett.
86
,
051903
(
2005
).
12.
F. S.
Minaye Hashemi
,
C.
Prasittichai
, and
S. F.
Bent
,
ACS Nano
9
,
8710
(
2015
).
13.
W. H.
Kim
,
H. B. R.
Lee
,
K.
Heo
,
Y. K.
Lee
,
T. M.
Chung
,
C. G.
Kim
,
S.
Hong
,
J.
Heo
, and
H.
Kim
,
J. Electrochem. Soc.
158
,
D1
(
2011
).
14.
H. B. R.
Lee
and
H.
Kim
,
ECS Trans.
16
,
219
(
2008
).
15.
R.
Wojtecki
,
J.
Ma
,
I.
Cordova
,
N.
Arellano
,
K.
Lionti
,
T.
Magbitang
,
T. G.
Pattison
,
X.
Zhao
,
E.
Delenia
, and
N.
Lanzillo
,
ACS Appl. Mater. Interfaces
13
,
9081
(
2021
).
16.
E.
Färm
,
M.
Kemell
,
M.
Ritala
, and
M.
Leskelä
,
J. Phys. Chem. C
112
,
15791
(
2008
).
17.
E.
Färm
,
M.
Kemell
,
E.
Santala
,
M.
Ritala
, and
M.
Leskelä
,
J. Electrochem. Soc.
157
,
K10
(
2010
).
18.
A.
Sinha
,
D. W.
Hess
, and
C. L.
Henderson
,
J. Vac. Sci. Technol. B
24
,
2523
(
2006
).
19.
V.
Suresh
,
M. S.
Huang
,
M. P.
Srinivasan
,
C.
Guan
,
H. J.
Fan
, and
S.
Krishnamoorthy
,
J. Phys. Chem. C
116
,
23729
(
2012
).
20.
A.
Sinha
,
D. W.
Hess
, and
C. L.
Henderson
,
J. Vac. Sci. Technol. B
25
,
1721
(
2007
).
21.
T. G.
Pattison
,
A. E.
Hess
,
N.
Arellano
,
N.
Lanzillo
,
S.
Nguyen
,
H.
Bui
,
C.
Rettner
,
H.
Truong
,
A.
Friz
, and
T.
Topuria
,
ACS Nano
14
,
4276
(
2020
).
22.
M.
Fang
and
J. C.
Ho
,
ACS Nano
9
,
8651
(
2015
).
23.
A. J.
Mackus
,
A. A.
Bol
, and
W. M.
Kessels
,
Nanoscale
6
,
10941
(
2014
).
24.
M. J.
Biercuk
,
D. J.
Monsma
,
C. M.
Marcus
,
J. S.
Becker
, and
R. G.
Gordon
,
Appl. Phys. Lett.
83
,
2405
(
2003
).
25.
A. T.
Mohabir
,
G.
Tutuncuoglu
,
T.
Weiss
,
E. M.
Vogel
, and
M. A.
Filler
,
ACS Nano
14
,
282
(
2020
).
26.
E.
Bassous
and
A.
Lamberti
,
Microelectron. Eng.
9
,
167
(
1989
).
27.
C.
Ton-That
,
A.
Shard
,
D.
Teare
, and
R.
Bradley
,
Polymer
42
,
1121
(
2001
).
28.
P.
Louette
,
F.
Bodino
, and
J.-J.
Pireaux
,
Surf. Sci. Spectra
12
,
69
(
2005
).
29.
J. L.
Keddie
,
R. A. L.
Jones
, and
R. A.
Cory
,
Faraday Discuss.
98
,
219
(
1994
).
30.
R.
Chen
and
S. F.
Bent
,
Adv. Mater.
18
,
1086
(
2006
).
31.
M.
Schmidt
,
M. C.
Lemme
,
H.
Kurz
,
T.
Witters
,
T.
Schram
,
K.
Cherkaoui
,
A.
Negara
, and
P.
Hurley
,
Microelectron. Eng.
80
,
70
(
2005
).
32.
K.
Cherkaoui
,
S.
Monaghan
,
M. A.
Negara
,
M.
Modreanu
,
P. K.
Hurley
,
D.
O’Connell
,
S.
McDonnell
,
G.
Hughes
,
S.
Wright
,
R. C.
Barklie
,
P.
Bailey
, and
T. C. Q.
Noakes
,
J. Appl. Phys.
104
,
064113
(
2008
).
33.
S.-W.
Jeong
,
H.
Lee
,
K.
Kim
,
M.
You
,
Y.
Roh
,
T.
Noguchi
,
W.
Xianyu
, and
J.
Jung
,
Thin Solid Films
515
,
526
(
2006
).

Supplementary Material