Time-dependent dielectric breakdown (TDDB) is one of the most important reliability issues in Cu/low-k technology development. With continuous technology scalings to nanometer scales, TDDB issue is further exacerbated. In this paper, two failure mechanisms were investigated: the Ta ions migration model and the line-edge-roughness (LER) model, which is rendering the observed TDDB failure. Complimentary Raman and FTIR spectroscopy was applied to investigate the dielectric bonding characteristics. Our experimental results revealed the TDDB degradation behavior of Cu/ultra-low-k interconnects, suggesting the intrinsic degradation of the ultra-low-k dielectric. No out-diffusion of Cu ions was observed in Cu/Ta/TaN/SiCOH structures. Extensive TEM analysis further verified the migration of Ta ions from the Ta/TaN barrier bi-layer into the ultra-low-k dielectrics. Based on the LER model analysis, a comparative study in both passing and failing die elaborates that the sloped trench/via profile affected the TDDB performance.
I. INTRODUCTION
With the shrinking dimensions of IC devices, Cu and low-k dielectrics were introduced into IC devices for the reduction of resistance–capacitance (RC) delay, the cross talk noise minimization, and the power dissipation reduction. Long-term reliability is also one of the critical criteria from the technology qualification point of view. Time-dependent dielectric breakdown (TDDB) of low-k materials is commonly used as an important reliability test of the inter-metal dielectrics (IMD).1
Because the low-k dielectrics generally have weaker intrinsic breakdown strength than the traditional silicon dioxide (SiO2) dielectrics, the degradation of IMD, especially with low-k and ultra-low-k material, causes backend-of-the-line (BEOL) reliability issues in the leading edge semiconductor technologies. Many studies have been published to understand the mechanism of the ultra-low-k TDDB failure.2–7 With the hypothesis of the Cu diffusion or the suspected possible degradation or the damage on the low k materials, some models, e.g., E or |$\sqrt E$| models were proposed. The first TDDB model could be occurred as a result of electric field induced breakage of weak chemical bonds in the dielectric network, so that new defects called traps are generated.8 The electron-fluence-driven Cu-catalyzed SiCOH TDDB model was proposed by Chen.9 This links to the breakdown model during the electrons transport across the dielectric, some of the lucky electrons could undergo “thermalization” under high field and high-temperature conditions, and a fraction of such energetic electrons could impact the Cu atoms at the anode and accelerate the generation of positive Cu ions when they reach the anode. Those generated Cu ions could then, in turn, inject into the dielectric under the electric field along a fast diffusion path (like SiCOH–Cap interface) to induce damages in SiCOH, which leads to ultimate dielectric breakdown. The “square root of E” model was found to be the best choice for fitting the data over the entire range of applied fields. However, the TDDB failure mechanism is still arguable on the ion diffusion and dielectric degradation. With analysis with Raman, Fourier transform infrared (FTIR) spectra, transmission electron microscopy (TEM) and energy-dispersive X-ray spectroscopy (EDX) results, we also observed different results from the reported observations. It is well known that the complementary application of Raman and Fourier transform infrared (FTIR) vibrational spectroscopy is one of the most common characterization tools and methodology for low-k and ultra-low-k material analyses and characterization.10–20
In present work, we will describe the experiments and the setup to capture the dielectric bonding damage during the reliability test and an physical model based the experimental results was proposed on the Ta ions migration model and the line-edge-roughness (LER) model. Details on the two models are discussed in later sections.
II. EXPERIMENTAL
The experiments intend to reveal the mechanism of none-defect related TDDB failure of low k IMD instead of investigating the TDDB modeling. Many researches have been done on the modeling of low k TDDB failure and the square root E model was widely accepted. However the failure mechanism was still arguable and the most common hypothesis is Cu diffusion into the IMD. Hence our study is to investigate the failure mechanism under square root E model. In industrial reliability test, a narrow range of the electric field was applied to screen the weak parts. However, in order to exclude the defect related failure mechanism, 3 data points of electric fields were used to fit to square root E model.
A. Ta Migration caused TDDB
The experiments were conducted with a Cu/ ultra-low-k technology node fabricated with a dual damascene process. The ultra-low-k dielectric is a porous SiCOH low-k dielectric, pore size of about 2 nm, and k ∼2.7. In the Cu/ultra-low-k integration process, 10 nm Ta/TaN barrier bi-layer and 50nm SiN capping layer were formed as shown in Figure 1. A properly deposited TaN/Ta stack forms a low resistivity α-Ta phase. The Ta/TaN barrier is also needed to avoid Cu corrodes and migration. The test structures used for our experiments are planar comb capacitor structures consisting of 300 Cu lines with a length of 40 μm as shown in Figure 2. In order to avoid the catastrophic failure, we stop the stress at soft breakdown points in the wear-out time period. The mean value of the leakage current of the structures is about 50 pA in the wear-out period. We stop the test when the leakage current is double to that in the wear-out period, which is about 100 pA. In order to ensure the sample is in soft breakdown, we re-test the sample and confirm the leakage current at the same level of that during wear-out period. During the TDDB tests, in-situ FTIR spectra were acquired in the whole area of the comb capacitor structures. The FTIR spectra were recorded using reflection mode on a Nicolet 6700 Analytical FTIR spectrometer where the spectrometer was coupled with a Nicolet continuum infrared microscope. MCT/A detector and KBr beam splitter, with a spectra resolution of 4 cm−1, were used for mid-IR (700–4000 cm−1) data collection, and the spatial resolutions were 15 μm. For the Raman setup, the equipment has a 325 nm air-cooled helium-cadmium CW laser as excitation source and the spectra were measured by JY Horiba T64000 spectrometer. The Raman spectrum was captured on the comb capacitor structures before and after the TDDB tests. The “DuoScan” capture mode was applied to avoid UV laser thermal effect on the ultra-low-k film, and the spatial resolutions was 0.5 μm. TEM and EDX analyses were carried out at the cross-section of the Cu line comb structure.
B. Line-edge-roughness (LER) effect on DOE lots
In order to explore the LER effect on TDDB, a further experiment was done to use a reactive ion etch (RIE) metal etch machine to control the metal edge roughness. By controlling the recipe carefully, passing die (without RIE) and failing die (under RIE process) were fabricated for our investigation. The morphologies of the passing and failing dies were observed by SEM. Then the TDDB tests were carried out on the two types of samples.
III. RESULTS AND DISCUSSION
A. Ta Migration caused TDDB
Figure 3 shows the TDDB distribution over the different electric field stress (4.8, 5.1 & 5.4 MV/cm) on the test structure. The electric field strength (E) in this study is calculated by the applied stress voltage divided by the smallest distance between metal lines which in this case is top Cu line-to-line spacing of 50 nm. A Weibull distribution is established with this data. It is obvious that the higher stress voltage applied, the shorter dielectric breakdown time was observed on the same wafer and same test structure. The TDDB is modulated by electric field; however, the electric field doesn't affect the TDDB distribution which suggests it should be an intrinsic degradation due to process weakness.
The Weibull characteristic failure percentages (t63.2%) at different square root of electric stress field are further plotted on the log scale. In Figure 4, the “square root of E” (|$\sqrt E$|) dependence was found of which traditionally involves migration of Cu into the low-k dielectric prior to breakdown at SiCOH–cap interface.21 In order to know the mechanism of the TDDB failure, failure analysis was conducted on the samples before and after the stress. Un-stressed sample name statue A, after 1000 s stressed sample name statue B, and after 2000 s stressed sample name statue C. From Raman and FTIR spectroscopy results shown in Figure 5, we found that after stressing the network Si-O-Si peak had an obvious blue shift in FTIR spectroscopy, and the asymmetric Si-O-Si stretch peak has a small red shift [Fig. 5(a)]. These shifts are a combination of effects from both stress and strain on the ultra-low-k dielectric. The formation of strain is due to an additional force on the ultra-low k bonding breakage from bigger size species (compared to electrons) such as metal ions, injected from the anode. The migration of metal ions is expected to have caused an increase in the leakage current in the dielectric. From the (Transmission electron microscopy) TEM and (Energy-dispersive X-ray) EDX analysis as shown in Figure 6, the migration of Ta ions is observed distance away from the capping layer. Based on this finding, a new failure mechanism of Ta ion migration for TDDB failure was found.
Measured TDDB @ T63.2(s) shows consistent with Square Root E Model for Ta Migration wafer.
Measured TDDB @ T63.2(s) shows consistent with Square Root E Model for Ta Migration wafer.
TEM cross-section images of the Cu/ultra-low-k comb structure for the original sample before stress (a) and the sample with a certain time of stress with leakage current (b). EDX line profile of the Ta migration along the interface of Cu/Ta/TaN/SiCOH before stress (c) and after stress (d), respectively.
TEM cross-section images of the Cu/ultra-low-k comb structure for the original sample before stress (a) and the sample with a certain time of stress with leakage current (b). EDX line profile of the Ta migration along the interface of Cu/Ta/TaN/SiCOH before stress (c) and after stress (d), respectively.
In-situ FTIR (a) and Raman (b) spectra taken from the Cu/ultra-low-k comb structure at different stress states.
In-situ FTIR (a) and Raman (b) spectra taken from the Cu/ultra-low-k comb structure at different stress states.
In our experiments, the Ta ion migration only happened at a distance away beneath the capping layer, and it is also at the upper corner of the copper trench. This mechanism can be explained by the following description and shown in Figure 7. The capping layer had a stronger dielectric strength than the ultra-low-k so that the capping layer could push the out-migrating Ta ions into the ultra-low-k volume. Meanwhile, the ultra-low-k dielectric was degraded due to the effect of the electric field. As a result, migrating Ta ions preferred the path along the weakened ultra-low-k dielectric. At the same time, there is a higher electric field at top due to closer space from the Cu sloped etch profile.22,23 And the corner of Cu trench is angular; the electric field is more likely to concentrate there. Although Ta/TaN barrier metal provides better migration resistance than Copper,24 the problem is that the pores in ultra-low-k materials can be highly connected and provide migration paths for processing gases and moistures.25,26 That path similar to capping layer, which normally observed from copper migration provides a leakage path from one conductor to an adjacent conductor, and the current conduction mechanism is assumed to be Poole-Frenkel (PF). After the migration of Ta ions, the dielectric gap between Cu lines decreased, and degradation of the ultra-low-k materials be accelerated and the device doesn't went to break down.
For the Ta migration, the standard quantitative expression for the Poole–Frenkel effect is:
The time to failure is therefore given by:8,23
The Time-to-Failure induced Ta migration fits to “square root of E” (|$\sqrt E$|) models.
B. Line-edge-roughness (LER) effect on DOE lots
Figure 8 is the SEM images of the metal profile after metal RIE&CMP process. It is clear that the metal edge is very rough for the failing die, and the metal edge is smooth for the passing die. Figure 9 shows the TDDB of the passing and bad LER wafers at different Stress Field (4, 4.5 & 5 MV/cm). The passing die exhibits a better TDDB performance. However, for the failing die, it has a sharp sloped distribution, and with higher electric field, the slope increased. It suggests that the line edge roughness can accelerate the Cu or Ta migration.
The 63.2% percentile of TBD Weibull distribution should be mainly determined by LER and fits the “square root of E” (|$\sqrt E$|) model as shown in Figure 10. From this plot, it once again proves the good LER wafer not only has longer TDDB, but also a better slope of TDDB characteristic than the bad wafer. For the bad wafer, rough line sidewalls will produce a non-uniform field which can be explained by field factor |$\sqrt {\rm E} = \frac{{\sqrt {\rm V} }}{{\sqrt {\rm S} }}$|, a small spacing variation could result in a large electric field variation. This may produce some localized high-field region, which can increase the leakage current and weaken the TDDB strength. To prevent the Cu/Ta diffusing into the dielectric, it is very essential to have an optimized lithograph, etch and chemical mechanical planarization (CMP) process to create a better metal profile to improve the TDDB performance in 40 nm technology.
Measured TDDB @ T63.2(s) shows consistent with Square Root E Model, the bad LER wafer shows degradation on the TDDB.
Measured TDDB @ T63.2(s) shows consistent with Square Root E Model, the bad LER wafer shows degradation on the TDDB.
IV. CONCLUSIONS
In conclusion, two key issues for Cu/ultra-low k dielectric TDDB failures are discussed in this paper. For the first time, a new TDDB failure mechanism based on Ta/TaN barrier metal migration, which occurred some distance away from capping layers, is proposed. The pores in ultra-low k materials were degraded under high electric field, resulting in the Ta ionic migration into the degraded IMD. This failure mechanism fits well to the “square root of E” (|$\sqrt E$|) model. Additionally, a DOE lot with RIE etch splits was designed to explore the LER effect on TDDB. A unified model and understanding for the spacing variations was established. In summary, we can see that more dense ultra-low k dielectric material, a better sloped trench/via profile and more tightened control on metal roughness are needed for a robust ultra-low k TDDB performance.
ACKNOWLEDGMENT
We would like to appreciate Chai Wah Ng and Xu Zeng, from the GLOBALFOUNDRIES Quality and Reliability Department, on the TDDB test, and Zhang Fan, from GLOBALFOUNDRIES Technology Department, on the data analysis and his advices on process improvement.